From a874412b61899009ed54eccb33be77d67e04ab5a Mon Sep 17 00:00:00 2001 From: ugetab Date: Sat, 15 May 2010 05:03:53 +0000 Subject: [PATCH] Added option for palette selection as color for LUA colors. Included an LUA script to display all choices with the value used to pick displayed color. Documented new color option and missing gui.text information. --- output/luaScripts/ShowPalette.lua | 62 ++++++++++++++++++++++++++++++ src/lua-engine.cpp | 24 ++++++++++++ vc/Help/fceux.hnd | Bin 223641 -> 224230 bytes 3 files changed, 86 insertions(+) create mode 100644 output/luaScripts/ShowPalette.lua diff --git a/output/luaScripts/ShowPalette.lua b/output/luaScripts/ShowPalette.lua new file mode 100644 index 00000000..1f2805c2 --- /dev/null +++ b/output/luaScripts/ShowPalette.lua @@ -0,0 +1,62 @@ +-- Show Palette +-- Click for other palette boxes +-- P00 - P3F are NES palette values. +-- P40 - P7F are LUA palette values. + +-- True or False +ShowTextLabels=true; + +function DecToHex(numberin) + if (numberin < 16) then + return string.format("0%X",numberin); + else + return string.format("%X",numberin); + end; +end; + +function text(x,y,str,text,back) + if (x > 0 and x < 255 and y > 0 and y < 240) then + gui.text(x,y,str,text,back); + end; +end; + +local ButtonWasPressed; +local CurrentPaletteDisplay=0; + + + +while(true) do + +FCEU.frameadvance(); + +for i = 0, 7 do + gui.box(0 + (30*i),0,29 + (30*i),29,"P" .. DecToHex(0+i+(CurrentPaletteDisplay * 8)),"P" .. DecToHex(0+i+(CurrentPaletteDisplay * 8))); + gui.box(0 + (30*i),30,29 + (30*i),59,"P" .. DecToHex(16+i+(CurrentPaletteDisplay * 8)),"P" .. DecToHex(16+i+(CurrentPaletteDisplay * 8))); + gui.box(0 + (30*i),60,29 + (30*i),89,"P" .. DecToHex(32+i+(CurrentPaletteDisplay * 8)),"P" .. DecToHex(32+i+(CurrentPaletteDisplay * 8))); + gui.box(0 + (30*i),90,29 + (30*i),119,"P" .. DecToHex(48+i+(CurrentPaletteDisplay * 8)),"P" .. DecToHex(48+i+(CurrentPaletteDisplay * 8))); + if(ShowTextLabels == true) then + text(6 + (30*i),11,"P" .. DecToHex(0+i+(CurrentPaletteDisplay * 8))) + text(6 + (30*i),41,"P" .. DecToHex(16+i+(CurrentPaletteDisplay * 8))) + text(6 + (30*i),71,"P" .. DecToHex(32+i+(CurrentPaletteDisplay * 8))) + text(6 + (30*i),101,"P" .. DecToHex(48+i+(CurrentPaletteDisplay * 8))) + end; +end; + +mousestuff = input.get() + +if (not ButtonWasPressed) then + if (mousestuff.leftclick) then + ButtonWasPressed = 1; + CurrentPaletteDisplay=CurrentPaletteDisplay+1; + if (CurrentPaletteDisplay == 2) then + CurrentPaletteDisplay=8; + end; + if (CurrentPaletteDisplay == 10) then + CurrentPaletteDisplay=0; + end; + end; +end + +ButtonWasPressed = (mousestuff.leftclick); + +end; \ No newline at end of file diff --git a/src/lua-engine.cpp b/src/lua-engine.cpp index 4b2cdec8..45790c87 100644 --- a/src/lua-engine.cpp +++ b/src/lua-engine.cpp @@ -3013,6 +3013,7 @@ s_colorMapping [] = * offset to a RGB32 colour. Several encodings are supported. * The user may construct their own RGB value, given a simple colour name, * or an HTML-style "#09abcd" colour. 16 bit reduction doesn't occur at this time. + * NES palettes added with notation "P00" to "P3F". "P40" to "P7F" denote LUA palettes. */ static inline bool str2colour(uint32 *colour, lua_State *L, const char *str) { if (str[0] == '#') { @@ -3025,6 +3026,29 @@ static inline bool str2colour(uint32 *colour, lua_State *L, const char *str) { *colour = color; return true; } + else if (str[0] == 'P') { + uint8 palette; + uint8 tr, tg, tb; + + if (strlen(str+1) == 2) { + palette = ((hex2int(L, str[1]) * 0x10) + hex2int(L, str[2])); + } else if (strlen(str+1) == 1) { + palette = (hex2int(L, str[1])); + } else { + luaL_error(L, "palettes are defined with P## hex notion"); + return false; + } + + if (palette > 0x7F) { + luaL_error(L, "palettes range from P00 to P7F"); + return false; + } + + FCEUD_GetPalette(palette + 0x80, &tr, &tg, &tb); + // Feeding it RGBA, because it will spit out the right value for me + *colour = LUA_BUILD_PIXEL(tr, tg, tb, 0xFF); + return true; + } else { if(!strnicmp(str, "rand", 4)) { *colour = ((rand()*255/RAND_MAX) << 8) | ((rand()*255/RAND_MAX) << 16) | ((rand()*255/RAND_MAX) << 24) | 0xFF; diff --git a/vc/Help/fceux.hnd b/vc/Help/fceux.hnd index acc3dacf11b00820b84c06a0bb753027657f953d..c55252b9806a5923b0a5d5dc34d936312b07db18 100644 GIT binary patch delta 28740 zcmY&AhDOGEPL__eu2z=4UKS49 zVt>zFzC9vC5VG70;TcP?(wY=(gi{ug>QYakqN=7o^uqGhHty6_8P!&Ocri+X!LzbB z%F3Qfg;`nWk!K7Kdoq;tzFu8aq&V#v-9OeYS^*u3c^hJN3@b7&Q~J0-5mdXHh4RN6 z;odaix^=_B$&zvXnhM8?P0P=@d&{@YuPtVMnx!Ul!wUmz{!JdMVGlaRJ8$n*a2dsl z@0VLodzQ@=mkU8_JKmUEEq71*l5>x|AG22qS39mXz9VmF(7&%T3LxuBfCeUX$@SR8t|PSlJ?3 zqUJlAwV0xX{anwzkFFiN}ZyO&fWv}ln)g3AClZDe(IrUyINRQr$+~-&|1vBuM@>_8|YrwXd zj%%6ydzWB9ctOdd-9u}*y%_d4aY3OS)B9i>w)WiF6d`LCnV;Mj4=raIf2?lvI5JAx zdB(Gs8v2|u)7C3Dw*RI{r^@30Xx$#wejxAYgr2LBZA;bef&R00qp;5Xno+%rynahg-Q^j-A2TPg zWjl93u<78Y&A?H6giMya_X@z@q1_DW;qO3LmxcO3w>Yfyh z)++RmmVTwNl8pKz5gW{>u@Wx)L~c|b7q%L8PU0>hJr}P(?DK%8kxShI@R^nU(nDhH zO}-{+tJgA;dLM>_WKj3tiEfuwShdE1JjN)u!K4k}H4?7|>V5KT=4%-&q*%;6PL4!U zM3uj^c(G(yt}cc*&8_hijmLn=M6!EjcdkmXO_bYQtY!Y~P9-3!2Z-<}2Jv9n!AhRhDKX;H>>M>~`PcYp$`y&22;b_x`^s31swhY#=Y+eFCp9k+n zWyN!+y40o`+y;f!K8qb;aW9y_sE`dlSQ$zql-&IL1yQ-!q!ej=n#`JmHF7F5TxGZW z55Y+HMrVb#ZJYsKWtpyJK*RTf29|w<>AwO=U5J#p7IK;Gx;t4^YF_8^1aNl#O9A) z?W#UfR4xN6Hpxp5;O_Yhzelu`BrJb(iR9LjmcZuPN%{-tb$-e%+U-Rp zE95;pmA(rgI7;@gX7UwL`Gh*$Xhrn|>0on3VGvC>V9IE7X;f=jHy~vhV%{9C%5sSL zC4JXIDQwJ?vy<%>EJtnYN4%5mnEE#X6i)=6Td5Ld z=V`jV?om6s7^tIm^@QhE8*!&`W=lK6+-^nj4WOJ zh8xUCVq#?2WqR~s`-_ho$tj~rb{K(=oduVt7JNA@;N=8K-pSB_#R~;Nry^fnHxSz?rJZ0O4)ASYlp*c+?Uy#iXDdvb88dFFFR3MJKY_BR zx;&CroxQl_S<->Befa#lCjzlz2)=` z{*1=5M@m>@4G{#hJVnpy*NCd+l~!;{=4oz!3+|i}0L|g>@SGuD6Ug0o`l13Jrp)Dp zi3(0;(sit|#XLi=kGLP(<4JHJ*U1hXk)XsGGu?3O3KY%`j^z{gH^>uzuf`swHhK&j zFPs`;%k)EE?wK-soQu<#m@>8vrk1;fe&$+cdl|iGMDT`YoFaBJ!vjLS$V==!mye?Eq=v|6D+Q6BXjY`CarC+nLLvGB|L`*)d~|nR}f0 z8~^BUCA?FuU>@4Xu(JvF&1oW5&~j#fPY?^XSS!@;qMG`uw2F&hBnM)pb0GWZOm&-< zcpDKDAt0ikRQ!2?ue+cpLd3Ls< z?Xe|Xow0Smr3et(#Y+Y|X7hRVX)sXy?Qw;1=Rzs9hprB3abz21rr?DF61V7K@3|jg z*+1s?zjTQg-oMh>y&lqxSRQiDDk>)VG__@`g~1n1?p;2sQY`rpuy2qh(XipPnTID- z@jzKj+b(+frG7BsL=0v~Jm`^545cxMy~lD-iba=J6V5dVJ5kL;VKE!1V27sGul|UviBu!z(BJUA@V96s2~8__?(PLyb=r!NP4C6e6|w z(hlC=u(A^vqEg9FQh4|Kt~k(Qi?+fuD}Klzg`${3za`?XgsReg{ZbE~@>~)q^fkT^ zh(EHu(4*vqo#h6|47?7Wuhwq_{f_aOmO+gL?h!n#@8BFVIeruZOdD~LiJY!v5%h+5 z2KSZ3I@4IgDr)Vu*J5QetI)(a`|WihM?ox|)U7q$V_!QS0++c~Tgy3HIg$6?oSV(W zRSW!-#A8*yId2}xRiFlYc@&lqf6Kx-d{Xqs_7Q_sa-EDOmLE@st@Ei>=VYuL?3 zpPM_YWOsKY6MvKAPNza(B5fc7mR{*<{%>i&e$-lK zD58?wb8#9J!z1smDb||~IJ^!&E};-vrDInAFoSawaNEReV^{C5r8yWlLpsy*mur}( z*QP#J@-~!N+jy*3gMB{}8T*N-jOb-7XN#&Sp)RQKOl$`cNLSxiV83AJbG}*l;w#tZ z!dKzcS&#@Cmx%P9$7h95!B}%3Ys8u+QBYol@(lsr1Y-UkL}Uim-6FI@l#N6=xJ0;{ z-S2r1z%BQsS5Eu_=#9NXi}-VfsVy(J&T1RGp?N3 z%Vna~G^m68Om{KjzD*Mc8+0&iKRRXOcoz#_&P!JpJrOOgrc%K*g1eh?n9ZsY%cacr}^8F|frlDnfPpqAvzOSGhUU>p_2#w%{VSFtHa~X{T zNcdhFH0>|!@eg9ddEerv`X!H$&s`P+){yX|b(bbB$whU2O&!oMUx^)m&i|&G5Ph5X zN~B)Bur1n@>k@zc~02X%B~aMKGH9@@cDPa*5Fn>*TY zx+Wh|2P}JY;}p9IJ*%}#wSrw@gkXeVSNfw2qRzeJEF4y4Cw}MkQF_IHhpiz>@d&0n zo09Vw2O*8NdbRx7eoDGR3+rNpMJCW&Gy{7Hz_in7(Zj{cPV1s$q39XFo2KE z6=ZMWbgJCw+vEPO4!!z9@QUb~)eX-ayvq+AyY6W7f=(G`wjCp9r>u3dq86^Qc$8b3 zb~O^Ar6p7I){PYwPm7^MJ?omDa)(3|VLWHaL*notO8rJj@Y~wjYy(m3R1F*zX+c&r zZjag@oFW>cI^zg}1Y9wGit%tA(DE&w4)I%q435uUt|a@(c1P3~H*O3yTYAC}WE?l0 z9GXt4o;$O2Cg?m4Ji{g;#LkR@Vzf(@-AR7LPGVVF(lyK`bK%vvAv%D= zr^*GG4>}`K*h781G#FO`G;g1dWN+JbWonq8O*U`}@p)8zX+4sRUm8Pd;CypvgV<&C zwDh%f8+q0q*cN>~T0ndCtOaU8$50b(yhx|sj^QW5Kw&8`N5+`)?vzJfQ<07|PB2R8 zp!Gw9Zp^3JvXmhtH&p&?s}CMi&U9@?zPFU$1C0sv@js8F+8-H#RIq-gYV{zsjJ7b$ zQTriXy4fSiph$#@38=sIE-*E&Wv-z2c&i}Q1Acsl=X`D3RRjkw=_shd+lv=|!PXti z?L(=1uU|1{PeY|{#lJn*cj(X>y<{`J%ls5*J(lu^+94Qi zgE!51jEHY@c%fuWIIDkEN4QI1o8p&A3q1;FW}w-Yh43c4B;S*Fyy$NvpWX{+5=&C88M@C+{Z55{%kOY1vcGUg#+UQ0+?CCH&O#wNU6e&WU5VC^jGEcEV%FHr3!WA>^qHqC z*ntJEry`C3WD*ljbsGeuN3zAo_C-<1R;5XiS6heCgt}8joe~qTRhk0SU*2+u`hT1c z3n&q`-y_ia^M0obg57q=>=)&Q01WSA{HFQU@CBfX4j9m7ao&|5IM{+L;1-SanaEL1!xhVno^o_5I8caV91gP+eKn%>$cp zMLP~-jHbuf`%9FRy7y+vuw0Wf<5i*LXb}6-uO!cBi~g5E`y{WT|PdoaIfr({bx`(Y|+k1n4i4bK*8 zRxy79n-I5Ya2#;4w;&I#FzH0<;;MTOnb$5e%nq=MuX5PzU*6i&`e0(x0%nA1y-?Ok zvX_p3W9+Q3FUwYicJ%PRZRzr&yf{pIN<0;T`Dg-pP>ph+Fx+uwX!5I(4#Rn;j__}0 zdG6h2+4AAkCZaVCgca5xb|hb~S~}zKBH}6l6F-8f)Vv|vv}Q91J9atzV7GDZACyW# zp{;aw8;Y>Z1xrmBRG$xj4O5aPP(0o6R8%Oz9Rx2HUB;CVyHvLi2o8npzKD;#;=~yG z@P!t^G+x>KkjU)dad(Q@d~_ zrXDV!A!bjEn^By;?M6-E>2GsXAfXp(RI(;!$Kxky`*7E())D`?eU*bOk)JSGmG0@) zNLuBjR9njf12kq;1%@KFrG5pgsU94BS7`)r_c1OgA`ju8jbYpLMVlN~iEkO<{X8$6 z*$9wg4e&P@_ZI_H&d3Z8=Q_I`C^x47*itdHYUW-%q?%5$DoowI<{%pr&fkZ%^TqlF$A^I;S=vAVGL>X? z%EuL&AchYDLOGJ%`yTvJ#?FwDD0e+FN?Q05Y95}Q(ScXO)z_z3kT zzHuN_$+mPc*Mc8P-u5evk<@QDZN7t(xEm;X@`)%CTaS`|C;2F{MY6caC=G%m?x<3Omk!?IjvKi($ zN#cshaD>gGuf*qds%PYD0-}x>D`9Q`!AkdebIiC(wLe;sJr<)+$W|O1uNSBbQNnfA z5|TB+Oi)Y=w%NXYoPa*qq2`}{?LxeO{?UuC3ZGNfkWMQ@E*fwVfa?IT%XnMz*sBn{GD&imfVqtswt`RHjj8vsVLLKnvQImJ8q>6*Q z8iE?4jx#~RZN@FvgA^o{@9(GDj7kAx{F1p7hB9#A-VR%*QOZ^XTjM1E1=z1|-Sl24 zv|W!O^o~uRrQ!gU+QjA@jX(fTlrtjv zrE8?eX`z7+YoLL@{T3eA-~NKP&YXeimA5YRo~E4R^1umu8)(F!L}UChve z_{fknmrKrZ>xqH)#QsuSxUkJ6}cGVYj|TX{pN-vB75};r9LDb`DgKCCl4V90C>e3rH4@RAo(9#n2Hbn(a59tZB5EEw+V;1#=NV}TI#!{S``&f0=oIGDz zyU>>&j(p&dS$qckM1bZ)3O>yy74l$a{lO*e;;)e%rpsLe7u56E7^7M{E1KQ_*nX-1 z1Btq`b2)j9rR0X%{d&25k!}(NlWJ)mTJ7kwj9U>>p*iw{yznc`pq96b&_povBn9;B zuHJSjm!#wOX{VEl)A4vot5?W)>Fd_TEVTgqrc)r>_@%T)^SwOM@stMI-ud{_-sDN# zo=CgvG?ORVjuoU-Icu5O7Fw4~kh-spco4MS@&@Imae>k~9{je$Iclw=P{F;ZMw3>n zdS7`ij8`TZ+tSw^v=kP@F8|src4KM#eU<&l?(@Ykly0bafjXV6K>hCRyw_uNuZBBk z=Nu5q6hdBH8l0%?_iY`&7<}T2?4mx5dGgH;by$TPlfk61V|KD7R$b)Ok9@*$&s3ft zqJfwE;|1>C1*YEn^Bw8Mw|YG&Wq!uhbYKAOy;3G(@nQ94KkK9*X+|41^4^fG|n)UJ@GA|vQp(m3wJS*Vu znAo<`H80j)rxszWr&mnl<6{J6{>4D5_b&Er%NiU%I^r2W?vD87`8neQ216a31G1Bl zVRNp3l7_Fjii7gz8INq$ACPz`@N@pztMQj8Pz=tLMi$`*L=JOomq$>HE~ojB9{PKKK(l z$B8K*P|Ni@;*)M+*>`c}U+4TP0?M$>c(GR{(CeN-=1ZL|!mh`7!K?6KLwElECUhlO zsm_cXj|Q8@5Bjn(9jF^naw>d^a{Lwq-*In>dKM=3rfa#0m|Cz9l;;(lx*9Hs`ny6` zcG4PH)<2rU_?{dMBOSJB3!(t=BqN)N?G+!m3;YmsiVk3G_@cb7l7#XVdmoC5=%Fz=-b?k7Bt!QM8KPd#W&^;D(wUCB(rcf-Fe4k z)b|o&F6gI_xqHVw*Kqg26*AW3MLy_{&;}!r3&Tk7w(u58_<5_ zOaI(g5}cYEqGo@MygUIp>HgKr%<{{4%`O=>3dHZ{_)M1sZ){x%UnLj0ufV+7P6AT0 z12LVnSwYWr+uztul02np9aL%CL?wUPvaKc#-7Zo+!)blD3Shh-`!p9T)1&~mtMyLWs|N|Wy$?L;aQ?T&|$8$pCRtc-e(NF{vNb95c)?RJF>u<6E;Iwq00uLM6|m2&~~a-WUF zUe>>Ay*dRa2Nb}L_y)Jl=fBwIb=BVsZ2HwDb&4;$cl7UJkauVNLm4GEVfQ5GbSyXR zFDtjtSaz)LINK*|+uHVD9)|d;Ryv##j*Cm(ySrHZ0VZ(T8fGy z5y=wz?Ca#xM3E6W3}Lfmtd2p;mJF+hM?}j8r0W%?7b)O2p}zN_)T=92F~~eKbayl9#BY zV}F;9QNi^KhIi1D7VELD^~HO&cn?*br`<&RT!xqq;gBUIaHm)7c4T@WD8h2=mUM8Uw6 z48>?x6xE7bj5V9jAIJP64OVq--Kn*Lpm0%mbDY-T0>ToE(D z3i0OAQ79!1Mdfn&A=R8Nbm20)G;!uQGdeN+pc1s~IcfQYFyul@reYh-Z-?Z3@M!kk zKYDoQ7+Hc6r2bAw-?(%{C?DaJN$h#3;|lEu%5*h_eJ?3u5c)CfR0jo*_Ekw5-?T}h zq!eJ4+N@KX;jfriRPC>epDkQqD$}E|uOSAnBb+Q&s+dxLBP6R+YZEB;^i|AWARF4e zQp$6m#ZS)W)?M)OQ|AO_8uuuIkdnQN4eoJoZ?<19t;8B z4(eF=eR7K74#z-fp4qj_Qd5@ovwBGiO@Modg4r{8ssun-5Ka}V+)l1&&XP$N9j2~O zB6z$PqiF!eYz_edN8co&bi90J{H)IRTYU<$;ag*o4#ts zb{s@w#+Mc^uvQwUHzKcIj!Uqq+;e2u8P&g>>_L6~?FCxQWPXRaPzZd-t?Vry>HVCA z!BBOY>Te)C_*YLRyQdRfFD)tJ#hMy6W8Wlq#!9addDN25S&(<$^s7~W-Up@9z^sSo zi}1JD$#qd$Bs1sRV(-%DpbsO4SI#TdTjT70(@@V*&xDWqHtE9l_RV4kIqd4QDccyc zvM*Rtu2_m!Y3gevWC5-x#j(a2{!scMpX%{gzI^7aPJ>KxzDjx-W+k)Yu@ke~&-^%u z7Tc`u(V^_Y=IRj1beINqyf~F&aI!t$%y>7b)8-B)E~tn0%KoHD0exV!$}xK7(omLiQ7FtqQHHkF&FVJV6EOd4 z4W6@N4Q?T!u(Yl3yCQtJ)#U^o7*KqAw7M!)3z8y z6r463#{rz~IDR!C*hd$---T27sPG+NiDe&jzO7a46eNnAt&Qj_UlE=1i2Oklyo=9o zI5yQ(ptqnb^QYBSEElhZEGb>0OU&0YI{Zu$L$1=sS5y%A$5$}XdymV{Nc4ub-a@%o zcvKkO)yp^t#!cs4@oRl0vV?5r`I~Oyb8*L@fJZ-fZtFFsK_mB20*J|RaW@bBM~h&# zzgjv27L&9!E<=@byEF<#HOZ^7{NP_k4Wgd)_Sv_}M6`lnJ7flF{545)*NfXxVn|N8 zHN}4GY~(z+@b=7%UAJk|n8QjT&4tlv+b|hs``S6dSqQR@;^eg=K~ZhO{_ARVA2xPswiJWLFq$sidp~Ug!A%pURVV&z$BkzN&>mz4YVLZaH zlH(V#DFu$TUY!_xOjPbHlX5~HhfQLrRC%?-3=!7SR4y3jJ8i^5jd%5;>_JVz{kLS| z=Ou4-ZW3w_jlIYA;f`%i;nBwp4A+~Vv_R1wSW^GC9n0DU0agu(m&h7jqJAaLtW9Px zLfS%d;Bu+>?|EF~8YSqACW(#DzR8tUDz>>z%esO8?e)=pxnMg(X=?zPX5JrCTu2j; zA|XwWILVx=UGYoHY7RzW7K$-fS)sjs^M3Jg~!a zB%l}3Vlzvd`9CBqAMk5OpfEOZfkNiA8}f6LXu+As8qbV5ycc!Ie9zanQKKONXLc6+r=e)3Xg`V ze=f-1qdzO{-*+#uP~>kD-p}1|l^8i{1It0|YoKrl%}0zCiun;N{hmKA)W^%%LvjD? z=;TSt4*C0?Clu4Dh?~R8L-ziG^iF3+OGL~ss!Cf^Y=2Ep*C??H05DH?jXH7IZWe4J z#)aiMV|XZHG&{m_hQu&}DC|xhtJuR!+UB`ZBZaZWZuXrWj*;BWJpLATeSt;5jNxxc zbWVUyoQJ*kGa7lRl@=+cCZ$rv3)u7Pvpjv~q7wt%!Oy)1JyZLt=QX`^2TcVjCD_Rn zW|W8`te7D%?d}F&1`LVC1yFzw@~hCw#R@JQ5NA#8oL?oV{mdlBakt4r!0@ET+x&CK zWGl7g$`(tZXfU$o^f#IkC2vy5u;3!$XPnIDoTeMWICP;VYlX5-Ggi-=%?a;0l4~|v z8$-7NVOAsRssv1k@}{nqPw7^iq9cmzr#Jz9PtCJEYJwyikax_LiX1Lf<86vQCp`wT zjJCawdz6Wc?knKM&#tJ(!Ea-b>KA4%%rG(sd`c1RAb=2!akc%*mM|B=Wt)?!0){v+sVP?W8f7p@@E(M*8y| zkJCxiu1OcwxcX)?EdM3m>At`1*x1w*eR7E(18R<=cfJtS5Vvcn?cY?)ZH_m`rS-EDHclPNKJDtEfc0?8e(o7BdXBZ|b&S zknhXx!yDmO_ZG^-Yv(~m4;)TQTG4wERk0_Ybt6}Z%+hw5T;uy=fDwD^2YHstRNOG) z(e+t>O$vUrgtpd`IW(pUb{kxUeAg8AJZbUnu zih#Oafp~4w8N*x&kyw7H+_ShnKM9_rv7V>kLO0Y8ueP=e@3tmkQHmchJCOUU_Q6R! z`h?$dzu-$(%bgD7;$$*?EfR=^l9ubH3tcfAfsB8%WewNKfJUI{Yz%tIl1w?n*NCzjEcwYq9*CRTj?3 zC0XoFM=Jw5jdl|M!{Nc9%0ZZBrk^}W!4V-ocCkxLhXt5mai#U)s{PWx7@*&H;-ztKTO_4(-P~DJ06VUeh?>hxdbYYR? zHt6wFA4;=c&FJMJ`S@T^uw`kn)z%-Vg5b?bi#RH1uh(Uz9ZA8Idj^B3@CrY@_Z-Z8UY0y?`VkUn^O4q!}z(cgWchxmx9XRk+ zG*u7Ek787mPNsiA!`(7%R}sour~}V5Ic)aIlxYi_`JA+Ug)89p`3M5Iw&hXYYj&@C zzbK!?sfQL5B0J;$Eo)5!F}(@H@=K^RE0&lRVrci8yZ6(s7^-yCe|9fhDVPqnJT*<1 zJonFzjn~@nc%=A6eU-&b=TKb6$JzZT16+;B>59t~u+NMgD&)I8QGsuf7 zvS8-){@NPZ`jK}j?COI)&-$fl+URJ^Z^x{bcFpdqn=vvgoy#hNO2~u)# zs#)`F+DJumHAEPNqpg&|(+qOuSl?Ir;;KK*g`HKTZn$KaI~+6ZM|Q|LWMC?Cxu@w) zkesFExpt%2bugrK_G>mnip+w-zfTyoH=`GgB?gW<$B$#O6J+Mk@6Y_hR{2fxZ}AhQ zFuJ$DAi5SM zm$ljD?OI#@Z!`y4=x|g=3$1q$0p!cl;hkIJ$;22*^;WI#^hW7Y*(?oq+>3oo#w~p8&gH)8Z*1Nd22*4zKWLcx?wzYze0h0NDH1hu9T5R8=WsVDLTD%_&TdJPqG%H|SQy=$TpTUid1mIEM^tc%*Iqy5(Zx|aIfQgh>STflE{BjsG zR0Q;A^n<`2dR|&)Y;5Q&l@{< zFi7`kc)qP{e?d9(g@l~?jTS;uOEI~Sy!N-8JMeKvqvC52oE?hl=Q^P)pM*dl1^l=D z*Zo)9)S18^-R;aj_k&VE`uUQR&4teZPpC8RE5gjudijQp^1}%svSyBczo;$YSZiks zH#y0GN3et|eRHhjGrdYEf!$}8_KGo*8wr#ygc9_p5G;5zR(H(ywmcG9OyX1Yz~noPu9F4G5icZwDz?1zpa|OD{V7l8_n;^nohbk+*KLgZAQ=lohFAgnx5*? zmAF9`#yx_5@?R+mna-cx_Gh0JLlVh`9hFfU+9@#GZH5(mutfQtY}d$6fWui5Ft;Pv zW7Y~X1Yy`=is&37IVR{BLv545f?ebwSGZ~G@RHaw_F*TddB8U!i8WLG1j=)A{&ifM zNb~-Sy9Zh3eXN{EGmiiuJ)Y=iZ@#*(X$_kaHk)P2#Lq4c;bkgva2)c;U1Y@9*37mizy@!ynGD$C2b^(EM>;av4i9 zf)pOLqC!5wc?w>H~?+3u&;# zw6T(JCP(u$wDrNp;Acv@WltYZ+BI(zgA6Nu2(WO$i4{r?-SJ~(?A>IGKOYeh$O}Qx zD#`=N%dg$#Q-dygt`Sen=7}N*y_)c?=UrS<6#k;+{zeL5vy?~OVWf?6751QoAtRNPx5-k%LABa~ULDNOE*?i86YrSyXb`CymyBW7mGfh{ru zZoJAdL~lwoqzv&34Gf#0?J`BPCi4G!A*OIVB&)(!|FDH!NzlpYOCV9}n}?SJfRh@y zIRJ2BdoUtAT+KXV<~v^T{(on2Y8>dvV8A~Q<S?Uz`n(GN7*iBpeh# zBmaw{0a6zf^&i5q1l9O=)zuc%@*g^I1pQ0^0~h{y&-^3Yhpm(MSw1 zoqy;G8w~NE1Smcj=RX=RG1y;>{~VZO0pt8<0*wdk8S_8d7bURD|4P=-qYVcC4^5eX zDL^27I>6QT_2*A=IuH==m;?q(Fcx4X26rGXvtLimex$Mu<)t#x6QE?=mpz@HpvNea;#o>TiHP_AXxv{t&x@G$r!twIk1Jhjd=glq4RdMY6HM?b3F4B zwm+=fd>k#jo;0bS=y=_(&RZz?EG)eDkk{Y7-oMo>7jCzC_aL{=QL^sYH%z^(?&j#w ztdeN#UbKBIV%EQJs;FHRn%;tRPq{JbyruD_mz11=3Sc@B`aO zwzuIB*ocg(YveR(b}#+Gq?+Bob}X`bY1f4aEcpUX(+qDd?F za(!CYeca#<>FEi$3OJ8?oC{E2s(apRdP;fkyIALCGw2u!ebMo90-nmFUe5kvvg6$K zkl!Q8-&#N2y^zyLd~;zXsv}Rhz%27s&+t&%FCOPz?UUZW23i3=Iq1oVLc+@nq($gR zm15^*;4-D=;6V1{?W)0~V!WgMF!1rP%E~;)!nK^$1}i!9E#)C-=53u<;={%U{B}Od z%QHvZqebxb`u*(#c#{!xZLoCzNV_?BxIhQ6cXC`f`mH)QNG}Q3a>D`H0iE;?2Q}{x z9~yVyivpgPMTH}`E1C6e&Vd-13t$agO?g}(`en{&f4Mz)aQ4gGXj80HpD$L2J??0g zb9h)G_UH91m8`Hx`*<#;8?g`X;CXcN%G-51rN_JFx0wWVHU~DQ5B}Z*84(f2U(@?G za&k<<{m7+a!&=(1OP3eZM4ew~5SKDFEhFyiLwo*QHbo>%g1FvI&9tU!SE`ubHEZ6hHcE%C8 zV+njQK*KDp!m6Bne4&@yy`R{aQ!v#6nP4f*3vSO!+EXK$N()R-%y-}C`P)na;t+^^ z_3tJqjqQ)0g*7vSlRIkgSRbOFONTkf)a$zeeorG{*oV7OSEZAcGZ1VUHk-reXTO=^ zZf$3(YS`SAzK)3M(!5h>;bb*G=8o5%)=9&qJsTW1T%nkB6moe_oXWSdBgNC@({V%d z<)G0f6lIn>NZzF=tGmdF>DO#>hX4rTO}_`}(zb(yZAZpL67C9{szpb2 z(_e2Vg>E)c+Ay@!z*O3hKmj))yJw&dq2a9iY)Af{& ze!21;eLk#W#QJ3;t$g=g#zvuhH1RQ>`Wi-h+1@xyvi-qWFWf%<^8j-Ia{1jhK=1sHQG9R^=7xF14<9!y< zYdK(DU&YZy-evNmlaBOYOVDL(zLfcfyNMIx-MS@)VhBlug2}mM{%GQSKanO@Ew26r z2v-F>4n5*RAt53mzNzz^A@Fd(h~$92JdA#WLL0_gB`SJ zsYSP&MZAp6fRpI&uL@)OW?;HCslzV#oZfBGYrd2i>qm;Nfa#5zg_;I7g{EPT9(OJa zCY=<)5_51KMctEEZ_SWu zUoO!=1QfD8D`_2y?T+VH{-|_5z!hPhM-u&&g0qujKC`nWZ`@{2bB*X1{9rPU(sa%p z|15f!$!bg?C7Wp*z03wnaw2Z(X$!(v91`wca?yD01K6Y8^WaO>h4j?CGRLysEq47} z`>rE4r+{~XC%US9p(KV5$a?@wbT`DA`cO2efd+UlI})zI) z1SmOy+#g^miZlB=^;|F&q?1l?cEyP+H_<( z+-P!Qgr8q&5_C#dRGlS)o;X;RT8<+@88bU~-KF|%nb2Iq{DY<~{^DVEZAuJ70L-kM zv2Q&jjkaT0e+cZB88D=b$4jCt^O6F>Y9+$(*jObUO*m0kE5R9}A z!JCj8{z<2Cw{QDW%)f<>slxdM$5AX{+fAxa0F7wNYzfqj`f2c|vCUMqKY03bzg!if z-BX-`JsU{H)Bw_;sl*F|FMMA^DKco;7VVtJ_Q#j>_?CoN6NNJ$ujMU9H7uS3SR|_K zX8+`a6vq_dkT21ir6cAIz+;AyZ70lO9(WT^CFlf9#tnw%XdTlCp;r53`$v_}TmHo7 zpFQ!08PUHEt9FXOC(3rmLk#%wVno?{KD3OPsnFdTrom79;njBH@$JM(O*;4aF{CVh6e@D%9&a~(97hu-K>@Z zMjk?j;>JacVh5=Q(Xcd!mbRm{FToG!4h@wFhJ|>^ ziboH#$!34(b{f`9_)Tk%tYF0S;{db^A;%$rFcuz7A{x~mgr69%Z5_=pb!%H%Mn?x1 zFa>)jNa&FF%@BgW%?%P7$h-9V8F3DPQtlA*@6K4QiDQlHZ3R25+Y62xGxZ4@PeI4b z4FhGhxc6m(=yotjldyOptKC<)SjA5zXYPM_%Crwd{8WJl1+)^?SJ8HeiMUAKdi<)k634Va6NkY3D)}J=GHYfT zc&g72r9}%=DS1=#oERr>Duc>2Vf|neK=%7-$;R1(J$uT=j5|>^RSlE^-+v%zk!wIwY2 z&4*mFv1&p{B(R+6SZe5BNCwQ{OqyAth*efHnxFAXWece*dQbC<2ou9bG`QDzmXqxh zOCX@Co}z@NK^=UyE{S6{bfUZHv3y`p;^RSllo^Z_UY4yzK}TX9D9s1b-{k9R!IV3 zL-Aq<)vhJLJ>|*uQ6W-h&m|~qy9-xJb{gxuZjdICj+`2aM0iSK@fHU@o@jOhPK(n+ zElM-G%8T+A;Oq+4wO+SCii~Qu%3Q!9tKAXyQ(CNhBz5ZEciseuHA9=*&~&J>)~4vL zE$n+*S9y8Fnz$Zb{=^Dn>y8v-Tgp&TLmbEV?EJ{&yB-}#hF?;kg*c>^?_>OmqZ{nh z0)`VEB21WZ22S~F9fIl@+aqef22bMNAL0oE{hY2JfW`vE#3&aZQR zb(*<5_u6?myBATrlc3PhoV@zBqBy>5*@!D-w5)sAj)4EVf`w4AZj!wYnczI{Y`cOq zpQ;*$3CLrEy}|}V_Fb#Grna$}&CH&=O*!B=@<@ysi8S;89zeimSu5)Pwc3Z=W%-p` zw)}S#%1H}UVFs59B>k8cg?B#}sB2S#nW}R+Fam|c5<8&p8dr~93$2mM)@QljzoWmg zOq7-3+N)z+fTvtP!%!lB1^!mZm#j+4P#K*?^Z_X3E3E)YdJN32&hYnl`iVC`_st1= zH#kGD*J9pUatm(=|6V#V+0=007gEX##k2vvbqK~)Co=tN0E-_El>X`bFsPtH+ zohLw!NHScm{9+C*>I+Io(vDy#_A}OiFe54xM54qTz%D}sMb9u?$EdKr@`v}|CGua| zbF{v=#;#BmMTZG}lQ!Rb5EeZ*B3j0X*iCb@z>_KD3t=qyg*PEasoUCVjnr0i?R&Td z{@nV9J}*&nv7&oCty6v#*?Qu(y1@;x1A#_hq(P1I1cySMQe*F3Nl%T0LT(@ z<~W`1f^nfelZX92kJC|C_=!9C30PFbZ;VtRzBO;HMoEu|fV>P;VIvCDl+^5Fjcei9qO_62?x)v&kf_$!%@Va8$CdSHe&ZB4>iI ziEa)w^Mlv)A!AkVoi^JA>+v*iXk9#tkd}oNX3E^{Kt6Q_;)pQDcM7y5(w{x>lyjDs z-_p)4^R84TM54_>AY2$A6t^pL6i2{%OZ3nd7(Ztxv1K9 z2rNXC?nWhUpa(%I_nF|d=-$oBLx)TV)E`V0iWj`wGz>0=+%m%+a+--(h7RyP9-{Qp zSD+A2F`F5w8yOl)9+DaN%;Cn|Iu@WhJ>Chr5qsfT$BLhUD+@fhKHQ`Tk8`7-L9XKBl`uEKskvr$ud zBOUwvrsOUmnDx2N_RwKG$T(f7KxCoH+B4TG-|_nkl1|^4YuebG1VlaS7>wm4p~JS@ z#JmbvHw{23+ahV16JX2cZX)I9)~@iZW+n#;DApbW@~ik84p{fpIvTVO-3ax**0>dQ zA0{Z9dJDF19#dHbf`|q z&6FE!+#d91)f+t=wVd&-WpnMNIh4#{bKel`E5;y2=|?p>_e(I$R-~yYYT0SuaNv1! zV!{#XVPRPMj7ZM#mYy5y5+&Wti3OP~Slc`%>kMXh^o z2a`i(EzE1oDALCK`_y0tuf)#w8Lra{^W!i~TN}jSAI9r*8Es6Kh}mg_F|qsNMHIUS zcer}YdO3~EYhp6m$$*Zka_LzmtBWRKPDPd*mi8G+iC^oXknZ-%3}Cd#D)Vrug)Ic+ zP?gPOQF_%bBow#l=&tL{#gMIJWPCc3;0oL9F@u++-!>|bpNWB*pe}RemIv8wq4c#I zg*X&E07XVCef(e2aMt8ZV;m}8Qk^Z6qNY>M>roDQGu#PgtO8#$q`o1wl55qKpR;>A zi=rW#6xOKUv1ilk-nCfS(m@rBAn?@}JNoe{789i5KfOd1a9``I~U zYD`-YDj_`kMs5w8+KZSht7&T}?Ws)} zI3j~LX-hu)1Ehdu$I*A`U+3L(rZY#%WgK>qL*HNaPiCnOSb7O6>osw@pa-CZHpk+a zuc8&7tnE5mI^3)f4=8+Zp?lis(2kv1Zhx|+@p!}+k_$6)chm{4PPtO5tXIw&s>2BC zoc+XRL29MUlve$E3cz5!+$3pSIwyV}79ZjyNb`*+TKWcyql@V8X`+KsJtUpuCgt3+`f_jCFFn?tv7R3N%OK7eVFu52`UP(~w5c$c zL!by-RZ~qUysnTqRb1#LpA9!tm1}&yi4(f!SlL)D^rwA?do!K93#%zR4z8$moJn-n zOjY+FFCk-~b4im+)CT)$C3ljf^;P*>x+VWys3jgO3?GEHv_K1cF)rj?+sNF{mUl30 zIVBkN?+Gar=#3F(>a|`5)IpO_B`9tQw|q(aIJfrdC02|i@+m`&>u8jrpn5m8E2ER@ z40exk#!rhRtE1`FAqr;6_n&(4261C2#bW3vq!w6YfC)qC#SqG@sSW|W?;2f}_^FL_ zi?8;}s?~||coFV=0--%<;Q`+Z8bzQXLO$1w^ez;hZw<7OQxG48jO(NHWuyvXIdwA3 z+{>HSt=I|p7?0>)u-Twr^BK{)*pq?id>={4Myc$+FJgY1B-ENwO zT`|gJE8K^XV;Mce&+!`9IQ;a9R4HN-pCC#;;2!Y$8*VLM$OX>1TQ8^3@IndX`V+AV z0JIk%QTuH-ymj@`uLh5pTnQbbip{Bio7%U09(cygWK7MOgvx}iZ_0kI*ZO*bm?HcrXocXoHe#r?89d~>m`(|IlzIUZ=YBz zgn=)*4rWj@3&_bm3zEP?vyDX>9(J!yDl9cD-|iA@!U8FpiqNfNyC=<}JqhV;9sO$( zd_kKFU-&~nE&3&qA9KweM3?03ioTiBmIA5wDy`e}T)H^duH)o`4NAAyiR$z<@it!; zX=c-#$SlKCS@NuIiSb8f*@`4?CBMijkO#8NUDI#A7pPL(p+hgT3Sd9_!8B*<=@W~@ z>F2oou*Q1bOTs#6TR2Fs88a&Wd`mfT@bu+45~3Bs8zNkuFa$r2d>) zY5zcA^g+d8+SG_-SA(4{t2Ar;r&pDFr25v2>Dx=>F`2kZSp^uZY6hhV>iqGaygYz! zBvkYYB+3#V0SIY_cdTrNcQlf;`iengftsUc`es;TJX*t@YuZ%@!R`ogc{!|Bs;4$T zYs_q<4685`40XQOL%nS+#(;!Wgdv)s-Sr+b@Y0(nrv!x4#zjmPlCHP2)?x%+TvEl6 zCg38BpMo~LK2w|v5aC$+5a5D**q4Cx-{>?qx-Y6GM6ufDHaA6xpg*U>9Gc_o?Y?6a zD#UM6 za`4J6Zm`SW7=D0+InfVcv{(Aoj5l6wbw9oK&rKd;%Tl0X7^7-9KM+b9iMIJbP|MvwZyqb=w>D(0Z?rn$Rb4dUY_VY z%DQZ-LRn7!?8PGkTLSY1w0erVJ|Ze;*M*5Zv0j8LAD=%0t?t&5fb@7E4DM{h$oG~~ z$d`arC<^VvK-g27QlEl~W{Sc4bjEu}vPN#NR z@V;6Ki?FtM!oU@ivSG^H( ze0Jy^iEOtBV>P7nP^5yNh+I^Tct4sj^nGd;x3jNO;rZGEHFS(0Q68>FmNBNc~S;;|a(9*Y$LR6jggq?5VSYtrX!ebz^a$z~h294RutvTQu4TPOk(KgtZ|4Llo zgUC`kmt-q0KVOoSB|Futv&-xy8dO*qnRRh$&(5En^(NQqJ-l-OqWQpwS1!#ckFu$3 zb(sE9fQPCz;N$)#9FcJpH7b<-eyn{#(wl5MEgV`}qiB*gQ+}S%lq6TzdKdMo73T8I z;TnGFDd7w9LUtkm60p~kw@y1)yg^m*qh(hLI{iLY%P9?Pi{;2xXe_J}dg#$@;tMaq zD4rlf62cpAJ+1V6%9(Fn{VzKghalj zVH!Dnl)h2#irtwvKM;B#aILm%);d-$-;#AS$>p=2-Q87unQWaX zJ||Pn5l8?e+gUHqR2|)>UUDN5$xS?||V7*~Z$3sWzoPw79h>+?gP{dZ)}7ZgxRE=*HqNSkd$O zrB!jxM*}F-$=;t{Yko%OA}@{NW01NDXQGar2KZD7AG+jBd__^1?~*ICY&t$L>tKQs+O23}bQJ1d%Guc8 zbeP}7>xdn~eUpoqu+f?v;M5Sj`sQagOzs=(R=L*g{C!VorGY{+RDSq2sM(=1CnHk- zgZ`bP(kUP{$@@s;O9fn{IGa+e`aznc56MhnmM8B}n@q4%VsUn2QtT*fR>`ujcn2`X z%XwXlr#<&DDD;l_^5{T;05=8lW(8pxo-#MRh8FO9?6 zR9{JY4{E#9@zT<^Fl=)(TwTp_oe*V>7vbdXjO;(BAW*lBcTpSYOIOv@y(!Zt8D*5- zgarVd{TtLbEiJe57x-0i>PmAKz?KWcP zeBn}4*r~U~A|I!3O~yi)k+i$dlm6%tr)w(XMMthLmxfahUwFwSx~V>DOQh!Hs-ejX z%aFjqqve)jw4Te(J5@95%LT`2Mtp51)VBwukLXrRZ^o1`ORMm5kK@p=zWLEWBvy@o zZ-s4*UgcfL(*uptT!+sl5awtz&@r9vC`YP>c2&x1s}7%Heo&C}QF{inHV0BAJo)?F zEskhh8#HQn7~Ps;sdacf+N>D`>!o_U9S4Jad?J;`at$ebQ7#vkro+>?a@N@nqZ2F8 z&G#XkA(3v=uJTgPzF+yvoNyg=r6+bCGFB0z`^i8c0{_R@BWIpVxRyjDENEc)d?*>b{vY*gw{$r763nf=&mIW1$bS^cmH6_yA!n0@gG4?G|q zH@4K&)DpQ-Ye+|-_CZtdrme^Me#dA#LYg-jx&uPtv|p06 z0CmOdn(Cah?=SZf_xyD)mASqm!~$7rIIGe8u#ABqi3Wj zxARcMx20szO0UJ%#}A>Rwe@TlO+C|F55g>A7Il`@4CAA!ST9aLL<%;Gm?Ux8$?7e3 z^MEuwxl+FW30mmd3|TBqc^OwjjM5t*{KZ`!j(x=1voMR=p`8ux#DS3b@hk9KAPsAr z!AFM<{nLve@bB@@gEI$$NPGnS48Dv3`;UT^7N4rBXfl_w2`n&F6z%I;-SS(vy8Dj| z2eI&#Sp#7Xng{*1a+1q4Izz}2FxtFCMtu*d^*;$`92M33h|6+Yrill%zY~)#)0oxM{qCtT)b%oc@4Pls%|LLZJhHd)fcvWToE;b^+z96RT#< z5%vWW7Z7Q*}%vW;h#Lj4aScbIisl3w20{Fs;-m%`QrEqH!GUcB;`GmjlfsCTm}J|vaht2 zUG;Y26Oo7as9<;ziqRKex`)qq|GZ2?qJ<8*n8vXP7fmqOQ}T#SgR$MjKyrdvB$P{{ zg_=k*%o5Sb4p6F62os;L9!HZM|IqMpe?Afcs>cSspIJ;TGlH|eBp!9rUOjX_)up5l zK>V|I1qa|0GB>~dIvRL>B#9Vcfvem;raot?ouoh6Zt_F8_(y)ec)yE%)d?9t9wLrb z5-IXzxb)8$3J&6igxk4yvrbk06QQpy+lejfvTiBp5k)n=)#6!?u;aP4wsJas6^mP* zKXzEuU9QxM+%ITkb|cs6%Juhh7xZe3g2PX+B2_Ff*B;%)(P_XZPOobtQ23ZNJY z>51>4W}M=^=T95kJ1AaPu1b)XPm8`}|Fl1FObe}dfqX1q$x2LI-*y?sO>Ms3q~~*h zGbYSAn8zAN8kxXq-8cM%j8{ike#~Cp{Z+8Hfe0GP3XO4cu?J=`AH$38dNZ3T1ov1U zx+q_(pYCN{*R&VM0MOlXM5s!H@E z&bo$75i*2!WL9e1ezZ9Fn%0!+OF~J|HHS1GOl%~pJX7 z1cp`wcs6F9BoBZvYlOSydG5BWs}ed-vPsmgIv0+pkL-OGqTH1oA-pZf$jwI}iIDOr z?vLj?DAx$X<@|timYaj z)WSEH7WFufV>`G(UR>>u2(;~yCS($2_yH%Yk$AK~eb;`vsz!9_J#w;OV_I6o%Br2!nF9K zamfZ25z>8AMb2Cm4iFZ~)ADq?*5l(#5?v#uUt^~kh}ydgMsxZ8)gU`uZlvk<@+I<0 z`qqT~$kWP~og>n{tGsGUDV{_zy$9!%><7F|Al1laE2O;tEpY%%<4TwxsU9qGLtYXy z<+l-C{VFp#n7DD{?4GG0QgXvuL#YgmwkSTyH~1r;#g1ce4&>RL`hkNzjM_8BYRI#J z)Oe)Jm17GK04aaJLHEg5jOh>gT7&v%SW|^Bn#fI-WFKeZrj-TtA)*+J^(dJr46+N* zfO~%Sgt1&pXTDWqetS`_8WO=-@2=Bym$D3Ke&F;%P27apz`+@-i}}}08R3S;t(y{O znG2zOb#b7&)|$S;^_sF7DbGz=cdr!ZXN@~CPdwGR#twpiYc{_E!M15TwjHc@t9(vJ z_Nt*PCwEk@UMt21=4IlM;9cos206kd1<*rfs>Gh7aHOd9)xF z>?k>Q36*@<>V2_;TQN0=jUC|r6q4-6&GG>Vr91Z7RGNnT@{~Ecj-ekx1yrYxH^I*r zW*yM@)|lxsyg1MR^>JdvnHRsRDcW2+dF1Res<63$_*k*|{xWn5TUOotAw}E?2iUP^ z$k2=_g$^+A{e*^|_Qr z0d6KJ{E!NptA2O-W$4k{Y=KXJaZ?}}!G@?e zO+;{G?~ophvuk|@OA|)jp;keAOhSIXQPn#k0PVFNc*qYFyyMlFaB2V!=;0S;I$jmG^UE-atj zF>+i#cz<82&PHRA?}=(iv4a8vt?K-lAAkrZKD@{6oU|pET=k?bcPH1eM(y~A>a9~G zfe)BjV`A5_Kmoi)fxP}$3K?yY;YqxUcZ0eeotZ>)!IzAxa#!Z@I!taS&CRi19f z*`PCrO93vAGywerIO`ErpoP48L4>c2qvoumZ-kE$#l~+Ae`p*Ak0{l!C}K)ab3D+Z z-DXLpPR^)q>Lf6uLtq$Ur&7R(y@UiUH7ICpz`rNY{~^-K`zv3{LB|k=ehOSG_~e0=vEsc1LArw_$A`266pxfBYoA{#*-}4 zq6CcQ*suNG)(t^L(eP@B<*Oo~r{~HeoVitIziwO{gb6^!R`4lC4|$TX3{~TjOx$`v zo^`lbEObs#2nj6r){%ub7=Vj#u4%mtV_dc^o~jP0~) zoFl#-QE7060E6Rix^Sg2SiF*@aE_nk7&Xc`P>P8&2b>a+VY^}aZCcs31@z;#`_UUcJ8qw>*v^ita)RogsF)d2 zVQ&N0kt=nei3_{O1p&s_h{GBP)9YV_dY$oaE%!&uflhjdC~M+KIRlruYKlLp!O)hv z03}SbNC(b|Zu6!Q>W&eu6!;m=Ax0C8*ECc6xAPz7tz6LEzdO*Bi{v=mxh)4dQhZh~ zTd*>G6vM9hAEofv;fZj zornBvJ70;pbrJmsMT$o?6ixU$M6`3VI9@f{HYf*HJP&c81*@E>{p z#8O^XP_aA|@-OFyT9SJ|SO|!(FaC6bgh8wdPy)XI4hmp^p=4tFYgSO30@SZj6wt^& z;=xZ zZ@~ci5RmPELfJopb_!?^Ed0uml!Sf654;gk5b2*pi8K(U^0P}i=i`VIGz7#M@}Dl$ zLeM*~>sM7fmBGPBN90pgFhVai=_qBmIXKtP5PXw!i9T zu?KQhf37ZF$zClRnAr7Ko-W6rFJRZN>Y{0Y0jFn)@~5mIU5)3SwfRRpx=fV4_>HQ* zc%qB|0|BuFKCk~&Fg`T~?Iv#!+_LvS_ z8J@rTk5d9frt=Ik&;gT6l|a7#39bJKRBE7ku<$F6si4N)5IByJ(4VzyG6HevKD*vR z`w3%!SC;YDoomz%6bE+wI=2(L;NbMmpu_(J20gHl<_?n9gA({vTNGbiQ3G&~1pd_{ zEQOFD@Oa6;=i%4NHt8r*iNJe|aR&amvc=*Q{b!`GKDd%%OOT+P1@N)a`p*rO@sBLL z2?@$t|GmIM!E>EV;51hMDsc7=B+uo91WiNRR-V;f#;yn z{{$pMuwV`V(HcIdTy~*Jx96v@E{FB?Bs`v5Eh!gxUNCeQ6Mn%~!7g98C^!t-a& zeZnBq|6Gw*6w?;q+miv_pROKtP#4(sE88a%aBw$65RvJ#pkoSNM35B#v|;gkw*Nn! z_-C{QI{=8=_V;W@n-r~6!Ii=Ot1@r0L9AxaAO|xrh&30G@&SBoo7sP#uV5A6{1LPZ zw*4wI!0t#Q0(?JifB7f4sT=?bEBzfzF|;{f3~s=rzfN#;8z{&88N6l=2EXeDfRsAH z2Qykclld*c^3n_dR5tY-^A|t+K0>AnoDAz<$vCV7K*FoP^D(5@dutUSASy8+|7b?* zEfBipGhfjXoT4Q=$o4;>>>pu905k{|elgKgX|d$Mt>QrZXU;?7P@ptXC>D^a6%;za MP!VKq1@-Fx0CVJln*aa+ delta 28136 zcmY&Z@;343|j&}Bav9yz@-w+Da+G3BR7C7QanBk$T~sgGjO9BoUL zkN1nc>5HDXx5O&bw57Gi>6RDw53vSbO@6oew|WDH`8tce!JW4N?~i6|+mGU?rjv48Ksp8`X8I3=IFNz(vd6nNz;OmF`GY77%=|{CR z!5Z+sbKrFU`n=-QS&|tsxo$JRfsEeqsLPX7<9z42tG+gws z?R&jGvVh}$n+tdE>I7c%G!%~`MTN=7EDCrv^Ka<*3Y2NCr*G|+r5_SuIclkpGq%T)(oL*7 zmL*Unu^zt^r{$U}ra$~2YzB_X9g{|Nww@7xJXCwe+bQ{P!p#IeApa`)nrxY0*`#4H zR%&S>ZF?+QVQx8=D%o5hm-p9M>aD877}b{B$rj|M@6^66nHOKjHz`VIX^l3sQ4FY3 zmf>$MtG;qd;9KY4AP)j9ctZz2W0x_Behhw-(&&(wHQBkGzH#vc9uBtYpYph}%6)jo ze=kk^S)=7_*Y^yObzw8thjX|MXZKtqIE_FqrOfi+Y!61pe3+0Gs|r{`V(8nL3r8%o zbMc=e(lgCfrD3m2TEgkb_Ar314g~Pdzsqv51*W&8mY(8M;Z0ny@6=^Febq5|wt6f? zG9>N17h7FFA;>RciO=_XN^g%|nKP zN1f%ti3F+bwTPKF{;PAO&a_me*PAQE=tnF~Hmptyepgn0eCzoM(l}1Pv%+_iH|DjP z5C?PS`U_F-!pMvs$B?S&M>e9ooZsXWq;dG3sm1rDJD0a6-!*zD&$n}G&u_US=tL2L zKN8V{77V+Z6UXg|QhpMlSb)d8bpQ8g*Tx=)RL{h$r?PL3N%rqEMVQ^W{HS$HIX(mX zvs32mh7eQH3irOzVtQzL!-hC=nA^=vXlma2tGS7d_D$IS}nqp z7dH&iHUp>u11QXA61(}fsY%j27uU?)2XniXHR*a89LqJ}uf8 z&A}8LYO=k9K&=)TW&vk0@)7f*g;b2tD|dmqqK|HrRuR$oScFx6C4J^XDd|}C(kmim z^j8^i@fDZOZbg2pMc9gFZ2>nNgQ%j=D=EBz@}1M3M;n1>`{&~mN0o-(fk{)%%aNp` zHEPJLu}SK^>4@f1EEhWVqG4%ts-EFBkJUMCMJDgO8g6{;~`1L-Xc)6J$K!;ncZ-#*H1Xt^FKmdP_GmfrI~A^Fmx)il!lOeB+R z`nS6mE54=2k}QWJ&xd?ZDL4-B{kt$I$FfbHmz=h{JQ*a%R>kHt&vq=(o%Wk$cV>}V3G4LL#1=F8SZb5(NQgbe)-^{a@XeaYC{nL( zU(35aGsFkLzjU;6s%qbk$5;wx#Sl5wr7*dX;Rgn^99F!8r}P@eua%rD8jkFdI(dV5KxxbA!6!`Kry((Y&cCRYarW1ev#)9@ zlq)l_<(_pqLX!iZclJx+cv0D6Q+ALl(oT}RW+rjKn1`obMy7oAQ- zNaq9apvz+Pq8$6&PJ%nPE3F0*vB8l!Yg?N!FM#Za{lhUPQCT;hzxfG%%XDvGhEEwG zjOJlaL*cgA5;kqf$?V&FHx$cjPIg@}Hv+43zqRh@FIVBokkHsN>+?mD zU4Wj;@GlEvR66z627!=KLC^ZQEf3afCT1IHc3G=vyX`ad6-^|(&d zoS9bKl7NhAJ|ohhAEF>rcncKY2rr!~J%Bj$sc6%DUgCE%{g; zF4ay=^w{>cf-n~OYC$bEJeCd4?$AmWpQDT2LuKKWYJc+kn{nym)=)bvai!?j3b%7Q zbSTMjzqzVD%SO`zs8Onrr`3rZUq@h4jD&iu_?EXiP_875p@{fTlL9ftE`nqsLKYKt z1J^W^($}DSJ13lv%ei^STI|y=(CBYbe9>&{D$n?;Jr{ZuT)PxbHw4Ad-6n{m+0h=~ z{J-Lw3v#%6#G1BEbzj|kgdRCvxcaIWF#rCdMS1xch57uo+OISX{ZQ5s*4lB=dK28Q!Pe!iYCxo=N2qj3a?F#kLkZK|#OY!Xyh z1B&u(vlHRls~*!;yK&GY&=y#`3hZ5QwZ59;-yADDU-}$!dNIFpiWyXhG8Sc*1dRO7o@EqQxBuCM9g>vggQ9jH&MDaeo!?=+B`c)4I9wb}7-=y4q zRZS9xpsZPk-x-CEYoe{oxsX$oHwN3k4|@Vl)T9*$c3g1l zdA0G`EV@C8)#i?)&6hz{2Bqa*2Wb?BTIUw$hMqCc6LxmvK=g$;mp?JQ<$$Jkz^fPb z1%#A5lgT~wCWPN*5EiZwMZlK2PMUEi!?IRiw#?Ct`kn7(PYVIMYli2W2^<44_Tfu? zL0%HN-*o?a9ihiA=LaDRvCw*1#!bs|cGIfi-y{by(D~pZM7p=TYrDF+5|pj99TgVn zWx$o!<`@51{l=K1Lyq#&D5om?Lx^<}z6A6;NbHx=%i=tte5C+J`_*>G&=~d-qwhUK zXHNMBEFyqu)an3$!UU?RS!@(UpcJ5&CNB>z?ox|A!#Q%Etcm>=dGFOT&Rt#CcJd?W zRl@#`zXJ;IQX@M_P!`NZi7Oa>8N_6JZVgq$3594QpevTcHOY#wZUD?Tw1CnwKe{U} zf)^bRVxRj1y{_K{>28aTB`TVcCJxfm_hZ!UkTcj!q9@W3 zl+iZs;QNLtN6)?mvR{64Tp<8XyS64+73!|fmY^K~c|TB14`Jm3t$Oo)e4_c$n@9G- zM1t}4CtAGJ?db4QnSbnQXw5^}OI-tEot}3gT<#8EVz(2O?&q@Bz~bV{)ud<2t^5%# z#C*?8KQ?e$=xL32jd#r7U(~D*hM}z2#u*ekTL*$=)I#trSZC3hho;)YYm)0PR&Nx< z8FfN#w9a`hZx$2qQv{j}+{z%xx1b0hf5FhwtLNL<(Y&ZJ6#hBqfA?cv4WSlSsip== zQrGoH9P%Rs?=0^FxBC*K{z^s(Op4HgHl=2ypbA)O|FeYTVo!`K^Sva5QY7A6e53i( zRj@RH+7t+y34&W<(u;Tuqb_%5FY>0+tC)IT^B z^t$XX-+Q`PiX*q2O&)qnjt>;~CRrN{0=G6Jx|a#M%_szI$F3e=O#8#^8@_Rf$#uQ< zvjU6*aA?~M>S|y1ECq>gTL|@Oi;q}cCfh{H*+ju=o zR=~WdPZWRnX-C<+MmQpzQYHrxRRIH0I)L&>dNppoIfqrrj($8;8f$4PVsX87`vlvQ zuY1dx-W=3Tlh#=~em{gI9EO(ZfkyUJpV@&rN)lbA=V~3FA^Q)6^i66-P~2) zeDB?LIaKay=uzY)6G{HsysQC~39QprNbarJjVrNG;tO5{t&=fQ`Qi2~zm;2_0pNOR z(K8M$>*)jK4rvm$Zw z182mOY;T$=af)K-i2Z?kHE#_GPg-wDt|gANTA;oK4*ngb70a?$870@}gzqOyl6G3s zxG^~st(#g4`XsWaI@m@-V=tkn6d*`fN8HwBK;u!3o|8LBUQM>qUAu7Dv$kn&P>W~r za%WbIg?glVX1s&ZtrMmen+(eR)eleptja#}oF?4-o?PWds{^hsds#D-@oZ|+Ee3z! zWNw4|3@ah%0V-wGhdD8HeAN+E6Jq?O%6@vL!LIl!%3f$bc=^s4;&~?+0mw9LXjpco zc^xwBu(Jj`w?=-4YM0yk1NGy&7+lh})ma!0V}RvGqTIc#^5!OgfP48Uznt!DCU2|$((17tWZSVS7(7JbV8hm!&5s$419a&?^(Jk&G=tQfq?MyXS8 zlKnn-1}BqJ2$zGMgjmJkB%byNrTCsn9N;B?!{Dc;HJ2K@u6v5CR)Ur;X~P?`&O<6) zz;Dn4W7c}u!!u=x0Iss>4x6MiBIzqd05qW1p@UC}-n6zWdRQh$75N?W~zj`)NdM_er zlxl$y`p{Y>5WKwJ0$3ipj71KS?@O*w9wEpo*&{lI4utGCDol&TBl=N}YDsEXYChsk zyhuEDw(R~Kqt>Bp+3F-O713m^y53tUR79YEwjUJ~xojIoA@L0|7l^%0JNz;B1!?8Y z60eYioMGa|pOCx4Icv%Qg z!f~BWk3(?JR*dG*ZE6FSbfMJ;n_@A%m=Gk6(8meBcN`n{<;eo*gFaY4oF3*7t@r5; zUW&6vXqcxcB@S2KeOkyKM9iNB8HCzTCOfYE2QObC7L0IR?+=%nRq4+-vkcp#J>PP! zSfI!^wp{p>0cg$gD|iO~LqkN#3T#UdkB_7YKL808Qlb z8tW)jN@v;4NP(sLWZw9sClYGH%lx9VDG9W6ovy0RTb@kb12e%fP%`r^VF9jMdfgeb zU{4hqUN=0M*=k9u@X-a2NPyUZWhuO7~9 zv`OE1fw?(-PsXCI;?j|VJg-B8vG!GNu)ugw)c>I{cyv21FQ5ZhwYB?=9AeELo(5uLXy-8bAdW#<=h>9b%uVH zr1odyDr?KGIwSOr)0nT|RSs03vP%v;y#ypM^Y?Nf-o>E%-fx~YAwa!^z;3x%32m^g z=z4Xqj8JejRP>eN8Y9|p4|g$J48hj?JD^qMlPRDT<%DdI=_(bKP%0*(ll*8~8n~?J zZu2MBbM=zV<9xK+)J@;m80;$A8=zZ8Nc8mzdZ%X^4905ll}$&VCT{d?4PKn4gi^YU z`7Wm}i@`3Nljz5#ekEgx9-Wy9etbbAy36R%#D}$nd+!jYBk&>YA;RB|k0Mu<1{{gb zhQ$brI);XwemVgzRhytSnsdT1IKR zt!WDd>mcIs6oCxFrit3ei}O0^;x z5mhYkg^}{sr(K#yh7Y4s>!S4$dw`3jgI%aTWoTrbWr?o3e}Pc%8`zT(TQbyy2tUd?zL?N^p*l?vi|%eIe$py zZF4W4_*N$GJArR1Pw=91_Nc~r0k*Xw>P81&Us(OA`ZpL-rb!ELl^La>-+xH`po=_+ z&5R%@){hpZ_%0|&>kWwx;V3+5KOef|*fANrOgGoQ8ob2yEtDUgo}qbzm5a;vZ>_DK z0$E{0f4!a$&+Wqju;Fv%6xIA&T7J$^;#{ z8t^G|>}mofSVo+yFw>roYu4Q%o0G3Ji&xO|jQG@TePDTtv&Of1vWZf+_!{iIZ63Xk zF7=rfZY1r8+F3_-0#NOfJK45nls~*Am@Z(~Tscq(fo&FBcq^)cB;HNC4}s7n6dGR{ z&lqRC3u6bYQ;OpgwB4W;8^6`MwMp;0{-d$OIa1W}=Wnjkxt_#pg6qs4cswQ;Xze@H zi#v%A$bm|;%&^9krlE1U77^cHrAp65 zE~~g17o^;|*oAHtRILO>%H9^n!MCi{s~?P@K8kw;^;wtu_X9&}eC7#llGMSXmfYB_ zmMlVxKnIAGfpmI_7Y>GN<71bLjE5F|h9RnHtw+KYE%$8cg)8N{A$`^<_L(as37vcQ zI3Q{t%o;iCY%eyx;ErI@qjV~ZuB@>+U(BOk-#<6o6SS#!5z32cdFaPg8>fl+Gx`PU z76IHNLiZK_fz-MqlD(qnYEt@v@>M?r>A^di^F~14eF|pQzwB=%C>E;*`FUAIF%=0DX`D@Z+6tS$o|E6CkU97eE+UJM%uM%4*eetB%3F>GI7LyTArw z%^H$t_)-RM;W!l~4pwjMG7~-Y@8zmekaCtIxa{t;em6j%TGJTqp{29}S}J1d%(2HJ zGqFRHwbrt-k;P~?uUUW6$$u7PDW`Tt^9XvLdDvT)gcAD*Q%${1-x38?!+FzN0&LME zFR91>0oRvOIMtRux-D+<fP3H=@D_&Eka1~*9V)fUc z9j_^Zao1mxuO@_3cBP=PK8?N3u=Jzcc_ZXhmi1_BPW1ez8J+)HLd5O?X%f zUR?P4bbZB=aA5LqnoV7l`im_L${}NzkpwM zk}bZp-knog{K8Y&X`{w&XK$^QNz@)XJfn56FKmy*S4>YROb>$gs7EsV0MOr57lTZv zlaYf5EPnqDcYtsGQY$&TmmQ%Cez}jUG=jNK*Xw92Hmie9<@5Cn>=$RpVRhP642s{q(+pZmCBx!kH-#+Tc%^*Uo*wht!+TWy}LUfXx`JWMWlt3E@X0!9OU%Z8NOjVf6bj4Ok7@bBKhATzVS=;g)7 zKi^#Weq`#9#P}EKMOg0Q?P~Dq;pUZ~k=K&ZM=OHzWa;n1ci=3m-*%7ly?bLE*nVK_ zX9(kZ(C4s?o^JxAF&^qzCACv<5Wtb3;LcG8-tE7#hjq}r$#YLiAZ==rPV%`3Dh zSkB?XEi_Q%sJxM!ltFQhXjF8@u9MD`Qbu?}cW*r`EmKteI62#CW{%PAP&MzPZUI)6 z$={$3P_SXjO2Rd&j_M^W$69R`j>DBHQ**!7ueXbT0HuQC(+g3D;7We=ARgV=1WUc| z=`xSrI|v6mXI&A5=UMM(CEH`QU8=Ji@f)|pT`~tdZBC7yvLC9-8WQGAh4Y*hR2n0t zM{uO9lDH<)Ov)zg#p}7HE>n^btT;bsZgJRC6lPLD17_`;xrjyAD4hGA`z0eq@5X+@ zJi|`<0~N>|%8#nNOtY7GtatGmj~hlz71vV+h`A0U`I}!<;^v$%NWk_AbpjH=2Bxji zzUtN|Td-L%s@IwLpB5igS6a{&$X8*D_D#>42t$^68!+X0{56>SVDc<6Jrx;k%~fmH zu{_d(MxG&dGi%kbWTUdCcBv~_xy|jU#My1{0P5lIOW&i_rAoZ&F>O=FD2U-`yP;6# ztcjLu$8ZdPup+wk*k~awt0FqAYaFXvQq9<^Nj(**m0eG%NY;UR$ktd$=OML((O5*P z&z7Q!kN59$&7F-jwpzs0HI}lM9$2w(#{u8z;h-Mr}-^Oky;3y;eLjrBK20TYLk; z+Wa>|tP1BjBGZZj_OgTWyGUp8cXgUr03Gu)oE_%N6{!`z_0zc7B<8=&SmAdaXR56y zb(2n_KMT#0_%5AaxvO6CP6}7x4f|aB@ zJQ>b94HU*XD1kq}V=W>zI{qM96k|5O8Xq}+%0C^?8Fqqg&JgIj33+?Ye}z!oa^>FC4NUpu+do zObE4yD9#^7KOINz^V{Kw`Tl3mXa*^5lq+=qh=-2Zij^3xW9ikc9{jG1qoqMNOCZO+XHJGwxl0Z|2b?LNRyZ~Nxnny(mwzC|L z06Ls&S)p1uT&4WNr*HaqpT6OiKARMQkqXhG1dAosOfbT9*}`xghC)w7>up=;g9lNE zmpH<}N+T`F_lN?B2Mxi?)#$=|d+W}HGyz*R$Rt_=e@7?b3_LzgcIBX z+uR*>c%&`1@(i z)H;V|4j7i-kMHh%*|BoJUA@HR`l8)7?brRN^pte9zqLXAb^!Yh6!T#8WBi&6Lpo9X zUX8y&LKiw6uJTDU)ZCz?P=3eQpqjt@sO(MyDpqSTqw9|D-|d-J0L_6?P0Sful>ji1FCE6$8Z*;rtU;3= zeKw89j5Ant|EqtxJi;by*~uWW#>dCr2QzN@BI={#)b;7+iaC}Qe<$hVI~>+Kl}JWu zTSh5!*0~Xy&HoK`)~F*mr9qaB%twNb@wL92Mj|r@5yj8h|2-aR*Pt?1V}{6L%m!es zNVGUWCGz&&RbtB`XK)!5B6roVHL6FCbhWy|+aSiY3RLr}ch928pENK;%F{ z=QkD#Vk<77k4$2e8Efx)eI}d}I3b)-Z*ZW9RtADB2tRaxm;NrEVr1<$S`d&BTAQ+> zW`!Y>o=i?xGs#$-ZT)%szfjLbhrwwbQ%!XCtB zNh}($%UiQpg{INnIYgiQFB~khq^X{ITc~4k2c3hCAh0K$)aGn=m_QwF^V|}%lRz(HQTS@fs+GlUWU zCsRXet^wMLiU6M$ed%W-(=I*Nv|>JbAe%xId4}`6LZ0u0NWS>ArJiNN?WZ5Bbd=QL z>|{eZRTvBCnIEy%0V2|&rR6rW3BN`^r#Y?TTj0~<76?7hzIO!IE8oesM*{npdcrR2 zKq^!ai6A@1P--|-ZMJ>@myMA8wcp(?XxO}mj&S@%0~C7j0p&eI9Hre2dVtB#y*HbR=x%XYt2MKotNxq+iTXWH6jqJf8R9-hu%ptuQPyF@EmnL-gWW93Y8!rBi15-$<N z(Np%x_kGV{0D2RrH5iw6BW>x*m*{XP#$8VZwz4hhN3h0n9ws61=%y@ zpXO=@o*=-RMIPdB2N4`!E#qyI+U8|*dkn>CNA`oukS@i(bOKf(-go$!wg*&obnyTX zZc=S-+`8~SibAW1P$G!Z*fp|1&>I>NMT_uf6QU;RcK+#kr!E*y%hYD{RIq{`>XVYX zW4gHhc~Hei)Ai#h%4=l+^#UUqiCmB0zd?u%;v0Q{eY%6CS@OB(IGniU*+b8nLDUXTVQaiM1_qzxI2#zq~CU(y2Dg4-0eZGJX{ z#LVg>!e!K>4)lb>W^ywXtt_lo5zOrGX3%thvJ zjI6cH;(@qR!vm01r?-GR8;!U;Vj|%Y?5Xb^$Xy_dNo~8kpS+h~_S4{7+n{lSn)UpK z>xm*^s;3xB9>QM%{Z8CpGmuQ6+^f(M0R~@wNu+%4dN|PDI}!FWV^f>OdOb2{Q0lXW z=#tL2$910FKS)UgL}c`8*g;)9vO&WgM^PTYtbX}}l2HDgfCCgLWSOs_1EGD5ett+l zJXGOP!1nF*U3RWEN>+)_dtzwdRQHl77k`0M=_Q{oB_Bc=ZLW^A`g^-m|5+5&Fl;(t zpMQTsYY40S?F5N+s``tpr@#2md4iHjAEYG294UE37|<$U{OgbC z@3^BE;4`-v-A|mbmr;ub6hdEfqLL)rGn22Hj|h8YZGf)(HydxsyLm>8z=3LRdw807 zE^+nI+*>n5heq4bSA7@+YLRh)bP4!Z5!L^ zvxg1T!x2;tfY|!G9khFb9nTufvS^p5uRvLljFJBh5?caPJX+v;Iulkt5d5=UCpr4V zOSeP25_nh3nimn~O-gPG{mSK3)4j|12$sNOI4gnw>Qul&Bd(61mGuY2iPDZqar3js zbtawzbd^fP-e+F+9xhOJmDTuH3luk-_L>H5UK~4;dSMqE>$hNrJ)!TSaCFMdQLS!O zGzGtXO_iYfEE7q=8wYG6@NMvQ@KxSr53;ckK*Hk6qa#W?^mqod`24&4-At9QljFu( zMN-@OL4c7CiZSnK3t3`L4rjJos~Bmru{nP7Wxw#&vrz44{(t|~swF)JbwX++pqh{R zt34ggFvR+?8MbzO(&xd-Le2k>_L8HmdBM7a$$dd}X;^L8O%>q&^J14H3L~IiusDMc z2>5)eScqPKe++cY=!;0vsu?BI8NT%}kUnD|z&kgm2b@;$54F!oZK8+Gf`wNYf20KX zk4PdQDZoD(aA2x=OcZ6|e-3{YiiceAb+qrPEn?8@Y@4CGG1anW^K7LI9YxcMP=LJEK zlV1oU2VT3%5X3OK^ZKPpE7oT*q!?t9@>QKPP*qZ7(eVmjwTA=#-&PDIl`3=EgKp>G zphUw0WV=mO6Syf)rp8(J~8Nxa6 zyW1ba6d{3lpR9waQf|_EC!Hy|i8^wT^%SCRvvhl8&#&{#7bbkELOLHAHkY>`xHmy>^V6EwB&x3*hE-VoU_8{YIQ+ixL?AXB2y2v+oPWo zQRQH29ZXRO5R)$Lfh9_8889ClGXHXvl8KT3lY`xkogK@_ z_MLg6o&~c^`r}NqlFyNmzI_fmI#UTCz z`TPS={{d^!Q277Aqr3p@e}G$4{U39ZVc3`dD5NeIkpBS;uM)8TK#z|z_~jXiU|Mdi5-Re z=SJqN>p$kND=q(w&aSKc^M`Kt$3Nh71p5#8o_qY`dAoZ4XY2WP<{y}RQ256~{^s)^ zXetB)H9-8Iu_kyFPz1F95DqCQ{C^snzEOZK{pV8?1Py4(KfY=XP&4TN_;3Y4$^K~( z5&?DiZ=+33a-eSiB$$;!qyO7RQ@=jwmw#x<8dUS&D!T)y)jw3|0vbpEpFW-f(Bgk~ z4~jvJng657u7E!OxAmr<+n`$iB+B+dUH&1m6Hw!SzC>Ju?jrvu;Rpt{^-qf`6j=X% z@oOSL{(m$uG%$&OvNBj;y8lo$E*RoJiEaWg?tip*60kUo|MbOkfN}q`G0hM5jQJmJ zLIte)zoa$!>w&@lLow!HiV#TuyECLqM)#7!00Kf3n{;Ij#s+A|;Wjm7vtta7bNsAT zeyNT=xE9%6&%C!YdJ@+3`T94p;zyzrYaT}@)dF2LE?KNO+cM&(Rb??^xBL8c+Jg`PNZ*_JxwzgDWH#M`KZXG0bKv>Olu~!p8>Bv0V{Y-;IgdTO^L+=Wb3d!rRrUVXku>81!T96|M+ZR zzNHPcKLq|^wyf6(btpvrDxS-K5br zBg}eb_qaZmmCa0)S>WUGvSoa|$n4+R>U|V)6@NVzsyNknyIy^j{@8ZCAk?baF#7XW z)!7PosSdr}OZ>u%eBMIygr@gsx_y3&!zABo!;M+q8FBqJFHk~*`y)-c7&vyj#VFFpA_ z_R_cKb3vH#VQUM1J0Iiil_%-hCj5H+{`LXf$rN7N{JnkWE}FR5pn7K>5W8@SSn=%& z+v0DP!1>6G>f!+o+F##6wQt|Mi#VR=b&fnP#YYdtChXx>-&)@Oh+TBB+xg3Q%1mZ$ ztl0beJSfarsFzug7k^v}jjh>+K)hep(05cizn|3g(vGnDylgJqCHx+&8MK>}^#}mo z!F1mfb+YO|yxF)?b38G-qN6fd;WAmsalzQRgh1@RY(z%UtKeWZemjNY{ifFMl5K%_ zESVxS29H=6NEzMb^L7x(SHisl*FS;&}YYRs?$@c!NVH&v*N?}Jc)To{_GbP9|PVZF_ zs|=Q}$iWF?E`(UrEj`2gYLeAWzbbj+W$nmo-$`*iWr}Ce_`Sd7elvD-u}E%x{zp?m z%QQJ-Ai5c1F~b+{B@|>La;ap?YVvnLFe5|MpI}0x`H`??mt!`f{VpZK8DR(b4QcDO zFyIpuOu$>qG){p1B_4cZ*728cAIZ$v-K|Yb^9;V2c9~_J&HT>gIfPBlRjz2cl25_# zPuajm$c6@tdbtsv!~x*=n! z9l3!VG|nF{l5+!WNb}28R_FP;Yw?WZv2Z&OZNvpqslvhGm5q>yM=x<=?hsBV?XWke zI=lEKm_j&i{u2u?L}X1PU!2>v0A& zX7#D3XMDZD9WjcEmVR?gm_r;nYDrkaNGm z;DvOUUI=u14+&~WfT~)kp;|yt=uJ)7Tn)itXHhLjy4wZzemxhMB~g+-a~m_m!_m(m zD1??QR~(Va8Cd`y0*vtt>YN2*LxPL>#m)5)kaCH$8=`Z9ggy5V{(8byp_UmNDmeU( zkxqFE3zB4kW64_l-BIiWpql-4nvHFD32{5ZutZo0<8AsO9Y7zIOyEQPD>^q~d7!3v zd4QBk{y3sAq}&Ne#}FwFN0jbFs#XSJZ$%vxqN{7uQ)4^fB=Pg|<%RgJ#B5A46kFpp z&r3;2?)77PE_YcC`+&mqseH0d@S$*Euh@>uF@q{rLJjvIbaZjYBFf7-C0s_Mq;$F< zZm!#frZzOOK~p$qIN) z=OUG{A=%Q}BSA-GX@M>gy$mg{2$5wkm0P@-eK)}2y<(PYV^?#*tY;Q+L(IiFeaj^) zPT?vRIM{k`L~C7ONe(rEN}K>MI#@zek2M?;#7cf0uP-RKe&Q@L(nO?bZ#RkVBQRBB z!7YYg9TW-BT|BA$PUQt(kB7wm{-r6BT0J9%H5ZySbPYPbRRf>2f51MV#e~I}he&3! zl)kYE6-`>%X2by3?1?J9pW^U>=0UE%%$n^u_A7QwD0HVO*w8i!Y{?T-19Tx)s^ak@ zFRn=@MGI=U5;40_6n%sV+y0guH>n{m3I6ZzMHq5GHEoQ8$O76NCIkbzwqhOQqFh|* z%4uXlx4lW!UQjr=veQ&JOjXmMU7>N3QO5E`a|4-_SI*wV@O zFBdC*ZV=w3tUsgAv6&rDywkcje}G$DRpBy=%?7sM*pnBX=fFHK)2e3r2NzzVXce>; zHhj?p8s}Tg=TT^J^I+gLs7|G*!}Y)arIaUt2rsR}z`?TNkrw+%sBPIoDBK<>5RB(DUU|ddILnXMHEO?Suo-2AeH6l~PjqX|{ z_fYD@lRg>A%1M1$S|U~m!s1)4z?we}1CHnp@FVE5)`;dl3zeqmP1-k&jRd=Wb+K?L z`anaY;I!n#vcZG_U){2;tVKylGt&Ud2uD__)>%vIRg0c3GU)397@N5=qdy}kB3m{` z%Jr9lAe~1h>C)UuSZs&}g|MFrH;=C<1FRAt0~9ML8@rfP;ON*yh-}-pxb|IHO+7Kl zpZ$mWSi+7m5 zT_1gZ`==U515vR2IIHEF@NaxE9a$|z|4PRwG$xZ$Vh9CYb0-{!dp)U3-pI(um2fvS zk>7`n2?XM{PzR$Lk0=@8y=me7E9C(PKpVN}@V3*}$R|E1&_Lqo>m&aReA9*U^K^W! zcxjdZb%=0ehK7OWhClHQ-yajpkIIu>pjdrS2ygZ}Iv!Io$3jS|WWV7<>(RS+S@&Dn|J=I7^*z7G z{Au~9qeTh`N<$o1M9xj+kzXs9_WRYJ9?Fu5@~hdO#i9n0%XV1apdZJh9#~jNsr&}t zb3~`o5K!2R*)kWUr7t2u*$?6WE+^$Mz%HNRnZqSgDJ6te5|YDQ8h_)f7rfrmi!~es zNiGXZ<(rKXrE|f=TxoYjkylj}$zKlwME}(-5s*!Vzikdt8!Wi=o`^p00+rm^3LGk2 zY2BgM>gz?_Yu^jT8#BB91&rn*la(cCnzNs3LNn0Pl+S<*Goql&D8L?Ap#ah}0_GlU zp82Mw!QOu{%$eu$n^7>4QoI-R&{Q5*TWp47Sjn~qC#1=3rZy?k`J&PANtI%IK!tbypb1rFAB_U=cbi(ycuvgG27%*>}0he!!;iK{c^AKXX zX}=={;;6k6|rOTwqL~?2@)7!tdAQc*5?_!7QwicKwgnN)67D-*zBqJf@CzgwF zk+X5xmWE>nO`2rk&UPD?ak5qp9`M&}+CN76W%hT5Vv{Hsb5ja1Cy*CBHg6oslPX5M2uuPk95WycvzcWUqf1HF+)z>>7V ze5sh5-i-JTv=z){1~s7Kn$ksUG}ff|bkM#T;CMak7Rej`tng#|#=CuM zYMbDMfUHgIHA4F*tIBT1F1M)|UTvm?uL?_KNU2o0Eq86+lnSp8HHx$1CYjwmA8PE@NL}HmoeiDn^#{2|zw7Ma z_6=aoij56BSL0i*jHWxnd_Hd%UkB*TD})PA6|{U{pjEV+i_}c|=AfTEB^a}4G4!&! z%|*wTk*H6PNz4xC%V+&jQYssrMyv^$kZQOivSbT9|QAxM2FHUf++&LEA-hFan zdRPfYX`2CJiauMv)`3Ixp6~+I%*3f+%>{F2)JN{Tc@}@ku%|t*xAcask#MC%*safp z6o^6V^@f3r!Q+@GX|bDYi|0Kl;TsOfVGM z8JHvp|CMv~#J#}Q{r%c!uDC$9+u|fyM9%$s`*{S4wR22mQa144hP(wJbz=Il=*(yD z1igeMA%&KHkeRSQeB1cOT2(Q!$@B@4t2J!A`g3$YD<=C*0u|%Xk*o)v5c6xYOGi)o zybUaBs66=U_*gGdXU>wjU}ElJ21Ly(1}#GuKWST{YmbJF9f22$a!FHc8XHd1xK6%e zMB>kYJ0`D2Jx8|~kaj5|s1gDm7O8L56H_7{IXyuujUmY!vlz{&2QtemzAL0Ei52!n zMj7HN#S6n^7n*p7n7L$p;4*gtgG+ZA;Xcx%l%xtutWf%>`x;vEa64NA=k40mec3Zb zVn3){flu6tvC1NLE~~`$bE{TyR`@q-@A_VfP>JT=@9CVet3@^vfy!#n)L95)6UcbHwo2p%$(r>lO5RG`6)K&ZvZ-d?3a zfe!qD%o8;dP_^Ia5hABb-6|~X8iAazsa#U4bdT5Rb56w1PSjH?*i#!6Bq}I3lt0#J z*ib&9pPRQ=*sFzy0D4OqR>Y@Z$p=fZYVG=*v;pn_seD59ty4T7B42Do@~KTc1V_p- zuA*QX8-1ES@{}Q?BpjiE>*`GUq@Z3`+SzSt?omt{-4`TLM;pD36e*g(!t_%`Xj&-yWZY%4m zsLqWpN~EJndmm;JIzPkkm{wU-Z#xDRpgDJs@!M)J!K*!u(%Ey}*Wyy3Y1_#$z-1yz zL!$AJwB|H!J0jz`;Z?mLQ63X0p%`D3$seqV_VMQAX>MlYImbu4+8Ju%V@7OiygEk-?{cD@)T}@g$ePy%wSq545KTi ziG&#YktukGy+fL!FUQZ_&B75N=zoTP<|mGrjaIv!W2J_oo51qH3u;a!@oBwxfN?HI z3d=m@aYH0enz;s+P*QW+Nw`y`kD)Hdyq13m!<7Cylmmz+ldzi%mG9ay;2XQ9g!H}+ObC6}j^=m* z#5$Tn&Wg!Xz$5uQQoq1qYP@xC&%mdsqe>06$HeZ zWqnc@AFBoBnq}#Q(Uo>qu&I(Sm3X`doy~aaMK<=V z1C$$~%&_J#&&u9~N1&j45TQ+&LzkS~zSySwfHA>LW+!x}?RmTK%$+8mD*E`tdbVuv zGDsn@tTAtOYQNnEHf4WvX_84Z$zKoqWv>qUcFsq@>FZ<_QGCNlg%hF))Hsh3>}IoT zXyTMv1T59OtX#wLEmwu#XsUsPQ5X(wp*&YNHpHnHKJnE|tgl(|n>_P}Oz8z)Dc>~( zI8|>EW5t!;aSrgj<;`VjS7C}K zcigMoElEds4wVBsvkqg=Lf1pcD)PeK-Vz<$bEQ2iJ?>~-pWD~x+7?>U8c9fNy?uB5 zp+czFa=+>$s$&%TObzkyTg_p1ZyeLJMgp$FBXWgYO5{{&ZDWb(`vqzB&pzA_IaVMO z{c&j?5Aw+e<6ATjy&T;p#<^pEAn>qqDcsMvkb{!!MNu&E(p)N@JsYO=azaMMtu(J^ zWnms6Ryh(3N1}wA3D&)#o1j!u;Ix?=22722dkl~G+7&)h5J&!ij$U2cq(p33p9sl| zQ_c~btx}_#krwgYseF14&M0FX6$}tdhI(l?KQU|b^kTDwCw|kxlja0n0Xy~K7NeaY zhi7cB+hLdr*HY5sQK5O$O=(!t1Sz06G{AQrg(T%iYeT(wYDeLm+Lb51Ve>5yIn&$r zK>$m1!de`n6H{TJ4q?IUsk^8Yrj(4qTW$L(Pz-;${{o-RhoL=IPdw=P9#&gWF{}61 z;60@an+?`eVI;UqMiy9QPe~AwKvl^5fCIv+??J` zEy)4j-XN1rPGlV(eU5K`Jtu~9+bZ9_YRk&xDr{*49%lMVauy%{H>kS+TxjQyS;=WiNc4b z7wrV>_pxu|6XFISl_+B&A3#LusXL-|-$fx#)%ct-!(et3L}M9_=F$vT>M>r|lNsbl z#O<9cZe%&--LI3@)t1PO%LSdwOD` z65kSb>WBGxOkX%C7YelYB|dQ)ch1R2i%tK*_cZ`~yNu8YrMy%KAo&)L}+Y-8UbS1db(iY$wYoH53rlH@iIrbPLhV%&0-?S(e%Lc;0zLm)fG`a*B?f9U4GtuD}d5e(5k8`DAVE8GW`SY?5UvzH! zn}o+BkmL%}Ndf_Zw>^W32o+O+u!r<>il!c;uyJzBgx&Qjx_1*e#jh*)aRy%ni$1RsmCMIBsqy3ST2XH3w~BUT>Hge+Hg60zWq=&(jKfr~Tt}U|P!@xHztWln z6f@RcBa9)Xb5@rGR3+A(8q_NF*)B|g^xA4QU+fi3xKFT|KzDc6nzfQMw^uNTfzI}?>RT@2P}Edi>5b|eKJ(z)DB!C1*Bw1oSI*Go_@z{HzX(48UDCxX3g#Y=Z7zDGq2Rx>buh zI9950&$d7h?ch`EV3Y_)epGKICBig@j`6Ih;2<_?kvM#UQkD7RX}g(owGkZ}x1sR- zW%1wy2o|fIYi__V8fijJ+vq@HmMGsBiMdoW#a>Ktt|U{Ia(|uC9cat$nM~{(^Y-F; zOEj)n_^D0`hDQXpc9Z!H_fl8~rTm+DL?H>d?~SLO0WZ&?QL81MhNE)5lwwzvr5JBv zKxU^giNrt^_iY znokeCT-QQzpC}x7LiB`$8fEdEp8T5+jtd+YKj+DHOHJL4%-~2+j8s5<60~~Vvy9;W zpjir`EmidIdnKv?3B{EDQzzS@r1JBSsBywCrSEVcF^=`;gt$&H?b}}VS*+_fp9lFn z?SdppS4142!JM`V4BD~qm|8pYCb(7%tjX~6)FHSOJHshtWIZz%)uGNI4+pVKBPlKR%-Rp*y${`kG!Y|3xHTv`^0AChht`zLX;lcWU8rAlqFv z-<*dVkMKq;`jw5TCrvsZt>SA7($qwBo}^{7@JS!S zPneu&{zQTE_R{5gQ98u&Z0e16Yfh$ZO8GhCmpvQQ42ks(L31%xzeY@!lhjX1L#wr< z$t9+qc7VM)Q5?iU)6)-*@Ijv0ya6wmK-24umi8+rbPgNm#nQs3?$r>@g|Z@1exm0( z^QsZH@a|1S@2lMFSe)vTUzart^B=0k@?^-k?T8nh&rp8wuD_2c)W1P7xmM!c`_@vk zot+YC)lDY;9Pdt@>`8zP4G*#=omqsYvL1(jl(B9;7n>*I`cZQd9|fnSb}1#g9w=Yl zv$Q!s)EwiWL3=5 zitU0XujA3WYzdlzMpn#5Q`61j$oAHrVOH(+{O+OBS`;F*l%a&!@|{{98W8QFGVlc( zcuxT(WMfJhIn1Vad9XIEU$Trl>x$5AXaF(@-(qJ%*JgH@t-nJf$Cr)jREf6<3tI#y@38a2~-DKi( zOTX1)ZaiT?0Q79>Q98|Es_*4m=`&T#KD-ww%o9M-Z{tph*IwjLya8QuJt5XMBQ>Cv zof~V;V&H7BIP9#OT@<9S9$=|>Av5?!Xdvt2N&B-+P2H882fSmGHdI^>M3gLC(bSQW zjmCXJMCLT(ZBr!#+|How-cyfi>GkL{chxpo9|Gz2o;Js}9rn#a{RYfXIX#-y*NVip z`Ug`6b^H0uD%u_ALA{_MNb~V80ioBZ785TR7|X)bpV$xCY&vd=CWJVSi+vR28MSwX zFu8z}yqqy})@mroM{c&IDzn*w4vGj^W`d&#x!)_iPsXnz(Xhla4GEV!-n?8yr4qus@T*5 z^P1L#=pwl;B+qt|8NGp0()Yt#Pq@69W!S*>0lDLQCrjuUT-W!yvBLcx94LI>g}2jC z%~L*~$?_@8_?V%BR#rKAzy1J_v$_I7nH^tzx|va#B78@XU7w@*99>`eOLG0dZ^RO{ z`SGqJ%h}P_G~>0BK(oT>Ow36vJYi!9>G)uLAO?f>fWiqOe7X7RH%1hh__dGFrdwuQ z=fe;4_FVbPgsLqfa?f1Nd%DI(7)#2$PBW((eQn^)b9`nwK?uqH^)filq!B(U=QmYh zdwZmjFcW=i#%%t*p*OmnA8iC0)4Aj$O(}w~_*C4tyrzynZTVag6D@Dh0bF2ARtVWa&GViUlMj6}xW6^^ep1OYdXT^yNOjf9bNKq(jye++bO|&m^a?H z;T;j1N=5YZ*!+6yxXB%I6n4ms$Dr)p=Z8GfWLToj3Nj_oPAu_jaJ!U{DRHfL!-ZuZ z=|-l)_CpNu=9gaUHgHP1(|-C{wr{E$@*amY*Ro9_Sh{l7mrGloHWFIHg9&JZ<@ABy z

dSDX@n!9Ea-bT|JySd%*slIJmSQ(#r8UII%?NJ2z3i{ljJUD5^6J8#=l=$3#G$ z7(wEpBdE*^CP5#PN}i==2WIu+dj@Xn_QarKc=RD_~yx``kn^QaPP-+@6AS--36 z!_Q6H(&F99G&6@xZ|W0O54!2cr0nUUr_`qYRA@!A^?qs{o%852B=OSfxP*7cR5b7m zFSIHu{^{-z0iA8WSY3Kb4*!n6YqfBAr@fY_F{o0RFg=4R&reU9^z2Bqrg45aO+M-q zeTyf<4|qzDySuda*zq-y7ra3?S=6@>CIW@TPI6=gDMsp!7sfBkKHts9Ba}BnJ2Y$> ziHnf#mFnY&+33I(IC{!qdA@)-k2wyQ+S%|JQcb3=e_3*fP)Qh|dhXGl7Jd-m^xkZx z5CEZ0wMH3U8Re6^jrGN##6_VpB$v8dqbc{lIyC9E@bwpoP;ZYC)-DPWH+TIUw~T?% zvV*^rE^oriTkO3b&P8Qu+-UG)rrg3fldC>`Ia>fQVQxR6&ED=RE($+(mJssqzf&b*Mx1ilwCtxk}9yQ6gp%8SD?|XmaZY^bEEkf@RLAu8dm(a5ne&eB{ zY31P%%8!JIQWw6LE$}=+F=#oIDhtgyF`Y?lo3rc-ZeZYbQRvaqbh4_b>Y0wc6mIAY zo9s&J+v(~XEpIH_F7|bfP9%ECSnCKfd`BeaiZ>z(&22+B=JaXQWk*ybADY&bR}ewp zgemBBw$xho_ejW{?R)8Z%I!yyZkq1+p59a}a-t71^&Z@`QE*8w5HP?OGCHX&TYRJ$ zSFcSHO&?;f{v=(jt&&iQXtRG=M)dRts02-7KIqGOF9W>)O(6#$&tEp-N3CwRZDj#=t_E5B$^7G))80?s-NJ+bLrqB9_ihPUtpbzw_$WZdQPn?L=iq z2VPn2zQY{(Eu9AvcEK(5a{E(GFfIYS3h`GWAkqt3$fc>YtZ+yfHU4iHjg<)X|S z<$BfzK=S!6ltq4vw~!X*m7yyPT(`eu#@O7u%uwAcEcJ&<8pN+L&QK3akzK|zH6VLEY3%Cd@jtY7)GZ~;W+TiJz=s2J&12&4DijTBb8gw3z*!z+foUj zN#05fWH!SaiFTQH-@l>lZY|D3PGD7H*dnu-Sowfu^=$;zaw1oFdd*!a~lcN)2PTJCx6!W5-m|Id0% z+LTiVGAI6vsWM`W-N#F49>yS&)pDx!#v^1n1@RPP4~;wn1uBgmuxeS7=TDR9ack7$pg>G{el7ms=F_4#cE+rG__Wv?TfD zqb-1qIy*}A^cz4L-=FGkdQgUKJiP_Oqcc`%l@r}LXYrNI>U)HMWw;N=IX{g&gIsU& zSO+i=(Nt(BQ_=I%qDj0QgaZ*QA0K}}laI*ky4;c_M@1z;#m$SF)-!d(?J~d8vdMU~ z{QMR7v^-jV6yM1_nI-=!Ic95jN|Ow_&bz$KfcG#yv%b(@D7sw&Q<=0}xguF2X!2S2 zaD{36>B+H(Y5J1b zlg^t@*dphftpr`XIf&xbth!^J&c1RMH}aaBL9qijWQ_fo?r4)Udg!1cO7hA0%9ok) z-6{U0Gvjg#;s<7jh|#xa%qI5C1MNj{JSkaWH=D#mjn2Nii7O}`?+t9wM83a9r6=_s z5PI&e_guwHDvRQ{c&j+tTbP2$XiovoPSh1=GJH(!qrBQA^E?QhoKw9h0=b&g5An^p z+R%b>=TfL$UWv(vCB1H^8jlg1LEpw_%68x`=c9_iru{*= z{))uC6=bDExiy z*dV!kg&zg2h~4UY!aRN6AKq>adAhN&&u?oE!_`in*`td^N_IQ38zU}$r83n)i18|% z5S`Kk{L%Ap9mG1i3)8_$oXdx%nJaGjfK)TcqY2zh4a}KS5KWOmMqY{CW;2XJ-732p z=d7^(p6Glx)%0wx(+&oWex;tF(-ZOpYco5f2|xLUd&lxQERBsKot#F!bI2>UaAyTW zkyp3H@$!Xy794YQe&+np0V+1lv12i@mVBL)0h-vo*TEHcS>_?_hT`}eF_0|WpF$7% zNRr4Y6;C}?K*x92@2zkf*mPz zsV>Sh>(}}oQK<#(U_*XU3P2Zbu^qf5w(k4I=V!jPQM>71;7HCZSVQPdNaJ$zhMT-gBCG3mK5Y0y}hbcfe9+%qe_ieA)MX2b4Q z$@6g`+yS8&&^WosjGGDBJI_zp^@#IKi z73gW1-}S#5`>td$JDbLW@?jOWvscB0rnoFK63JrlrmDODc>^F&sM{JmH!)K(*l~Z+ z+FEB}fd=xGUDU4tc6^$JWKNPU$+B89amJa_Zkc^mEov9P5Xl)PWJpw#rzBCd;?zL~ zBw`ZC4HLBS*0SN!lYSI;F2-`u#*k3Rln*CmEG~%zs$+GIL|Nl?`vaIuJp_y|D0XFw zlj$IP%&gT!{oa;RCzNx#swD7k@8Z!Wof&?h(*{|XanPbBD?e~DE-y%`s?ZG9I3UmZ zTF8AcDm%;z8PE)9DqfiC?qzy65HTKoa8w|VxGxz#D9ab$m*?+v9O>W9b`kl3V_sWT zC~_ffy@6JKVPcP}NzjEL#ygoe4g_K(ByePW2RAiO+XV>$n)Y0@?-eE+^Y0wu^wbyO z)d5*J|8U$SfB))CR>h?fgoKG#ACE*TKeu@8sJcb@$!O2Ak`< zDpKn0&f7T8b>-W3hX_rp@8Kk%9*A)xy0St1WYbh=Wq}yzZkozWlsF;p-J*FW>~d+% zYQ>rb2|L0|>&=YMwmLYtyRB37lI8H6NgQPWV_u@K0W2A#;ZgHkuPt6yQ-l?h_CJRT z6t=YP&Tk~mF2@P^(*Bxx*8`F3H3U=qk3M?VO4VawXgBP#9AX!gK;r*DeggCJMIwzl zSy1c0hApZG3Zp}U&9Fh_W~2ZM+usqUf3svw5@BZn5LKX2enno}^mk+|4?wC6&Hs}N z7%M{)Ld^*P{=bE`pF)m!lAq)sUdz7xj<*O95W)XY8I+UYRsV=A+tsQ?2S*m*|4nt$ z0TNUmsh?E9kP#E$__x5I3Kq270U1?j{$Hzqw=*PS3kQMROSSID7}D?fgSaPfqek{Ubl( z=WHYX;J3+y5dTdK0{|EGM`D#a7@(8~eEcn-Xn+NjVt`iTk?7212P+4r*`xjKQ&5@| zSoR0;4f}P$Fu0=Bgnvi!R|D%{zh8YM(F6ljTL1ygN5Su>;M9>M+x3T6qui^sZg8#Q zp8f6BH=L9``bQ*^T%`gNcztRAtZ#A>P|kr~RR*h&EII``Z$l_g~8|?Qp&r}_-f89}1+zAVypacDDGzrlE zDYBj=pbeV*B{YRwc!l^1EECj?NxUK)YiC}I3R^7)`opr$w zoqHhWw=nusSbzZb!2;>eqlq2>>g>gYfWW2y+eZi$5+Fo}Wd6Uyi9(DT+yh=++CLjt zkrc?$dt|QZff4!?z{78WUmq-R(*ipBkIW}))C0s|5BPr$<`yR;(8c{H^92vh>>{|p zc>fewNf5v=cw{OYfDs;IfWvR0?5A+32n>ORUx%f#G+9*=>=91%cb=AN0Hxt$l(~S` z*CB94O$7grx-$ZTz)`=pZI>a~{E0oV{9AZt1QzU^0Uo1ApWF41M6%$vw3qztv)~S- z{Em9!r!Qgzz7Pb156b`c&^Zq{2S@#)u^5BR35x&)<3}MHEI^0MLjp#NkCpn>$*;aS zX;|P&h5U2r3|xZ*^f&&js$l3$CnGp^<)5mqZUH7HkDzQ5Fj;#aX#XvI0}IeyXOKX~ z#h-3pbm?&3fb-Z#{5y{#2;imZV@=*)YE$}xGmQbi?CO8Bje-Ftz)`=lMK=R8Ri6Ni zW{-mXPk|EycnubQ<*6+@hl2xNyWl@NKlmvWV2BI#$Btc}%@_Ot-m%{Q?AR@QK*anJ z5oiuh>*^U4@aZ}Dmp__6p3tSAG8-urfJ*+Sr-e|)`~~=YhGP7k#~>%5Vey#lXkK4` zH4X&CDfiz|aDqTJIOiz#bsAdL$cLfe}B_!B1X(6xzT7^kx8;lNzS@|EmH~ZGs{1HCR+g@Z6C?PwqP>RI$-cy$oMG` zvH;Cs;a9c-j4$d+z`bQf|C<@k3k{s}L;tb2(BIHt%YoPD^v@N=PXutWgBFIuQUGf0 HpxOT)F&x<7