From a5a1af821ae6b5214fa621eb3fa31ed2a86c8657 Mon Sep 17 00:00:00 2001 From: ugetab Date: Sat, 8 May 2010 20:34:27 +0000 Subject: [PATCH] Added emu.addgamegenie() and emu.delgamegenie() functions to LUA. Documented them as well. Took the todo out of a few comments too. --- src/drivers/win/ram_search.cpp | 2 +- src/drivers/win/ramwatch.cpp | 3 +- src/lua-engine.cpp | 73 +++++++++++++++++++++++++++++++++ vc/Help/fceux.hnd | Bin 221644 -> 221663 bytes 4 files changed, 75 insertions(+), 3 deletions(-) diff --git a/src/drivers/win/ram_search.cpp b/src/drivers/win/ram_search.cpp index dba1c972..72e25390 100644 --- a/src/drivers/win/ram_search.cpp +++ b/src/drivers/win/ram_search.cpp @@ -1717,7 +1717,7 @@ LRESULT CALLBACK RamSearchProc(HWND hDlg, UINT uMsg, WPARAM wParam, LPARAM lPara else if(rs_t == 'h') numberType = 2; - // TODO: open add-cheat dialog + // Don't open cheat dialog switch (sizeType) { case 0: { diff --git a/src/drivers/win/ramwatch.cpp b/src/drivers/win/ramwatch.cpp index fb37f405..3953a976 100644 --- a/src/drivers/win/ramwatch.cpp +++ b/src/drivers/win/ramwatch.cpp @@ -1129,8 +1129,7 @@ LRESULT CALLBACK RamWatchProc(HWND hDlg, UINT uMsg, WPARAM wParam, LPARAM lParam else if(rswatches[watchIndex].Type == 'h') numberType = 2; - // TODO: open add-cheat dialog - // TODO: add add button + // Don't open cheat dialog switch (sizeType) { case 0: { diff --git a/src/lua-engine.cpp b/src/lua-engine.cpp index c6dc6c64..9107f916 100644 --- a/src/lua-engine.cpp +++ b/src/lua-engine.cpp @@ -448,6 +448,77 @@ static int emu_registerexit(lua_State *L) { return 1; } +static int emu_addgamegenie(lua_State *L) { + + const char *msg = luaL_checkstring(L,1); + + // Add a Game Genie code if it hasn't already been added + int GGaddr, GGcomp, GGval; + int i=0; + + uint32 Caddr; + uint8 Cval; + int Ccompare, Ctype; + + if (!FCEUI_DecodeGG(msg, &GGaddr, &GGval, &GGcomp)) { + return 0; + } + + while (FCEUI_GetCheat(i,NULL,&Caddr,&Cval,&Ccompare,NULL,&Ctype)) { + + if ((GGaddr == Caddr) && (GGval == Cval) && (GGcomp == Ccompare) && (Ctype == 1)) { + // Already Added, so consider it a success + return 1; + } + + i = i + 1; + } + + if (FCEUI_AddCheat(msg,GGaddr,GGval,GGcomp,1)) { + // Code was added + // Can't manage the display update the way I want, so I won't bother with it + // UpdateCheatsAdded(); + return 1; + } else { + // Code didn't get added + return 0; + } +} + +static int emu_delgamegenie(lua_State *L) { + + const char *msg = luaL_checkstring(L,1); + + // Remove a Game Genie code. Very restrictive about deleted code. + int GGaddr, GGcomp, GGval; + uint32 i=0; + + char * Cname; + uint32 Caddr; + uint8 Cval; + int Ccompare, Ctype; + + if (!FCEUI_DecodeGG(msg, &GGaddr, &GGval, &GGcomp)) { + return 0; + } + + while (FCEUI_GetCheat(i,&Cname,&Caddr,&Cval,&Ccompare,NULL,&Ctype)) { + + if ((!strcmp(msg,Cname)) && (GGaddr == Caddr) && (GGval == Cval) && (GGcomp == Ccompare) && (Ctype == 1)) { + // Delete cheat code + if (FCEUI_DelCheat(i)) + return 1; + else + return 0; + } + + i = i + 1; + } + + // Cheat didn't exist, so it's not an error + return 1; +} + // can't remember what the best way of doing this is... #if defined(i386) || defined(__i386) || defined(__i386__) || defined(M_I86) || defined(_M_IX86) || defined(WIN32) @@ -4374,6 +4445,8 @@ static const struct luaL_reg emulib [] = { {"registerbefore", emu_registerbefore}, {"registerafter", emu_registerafter}, {"registerexit", emu_registerexit}, + {"addgamegenie", emu_addgamegenie}, + {"delgamegenie", emu_delgamegenie}, {"readonly", movie_getreadonly}, {"setreadonly", movie_setreadonly}, {"print", print}, // sure, why not diff --git a/vc/Help/fceux.hnd b/vc/Help/fceux.hnd index 57aece988a206dc268b0b5a7bbb892a94794b53a..1b4a2736007611aee0a3deefb534528ae9e8da6f 100644 GIT binary patch delta 26577 zcmYgXV_;^z60U9A*4DPRw%u*Fwzqm~ZEf4OZQHhO_x9Ug_t#94nLIg@nM`tW&UhdE zRv$b-K^hbc4G0Jb3J55mn70jI1gnV|2*|Vu2nhDO*7&!Hp|h0}gPXNgzn8V+?r`j- z>(6UKD1NK+i01M5O}7>vFF6%Sa$uv-#OUtbOk572nO*#<2>LwKw=Ir3GQsAW9FN!j z$^K;sp__eW%@lc)gk*uw&qloj{UZ6Py9>afwAgEe%IMsLG42G%O_J1h+JgAO6@Yf* zW5>FQr!%#(C{4Gez`S~qNpoH4@PbH~ zsV_s>#tiUS=f5aK?$pYv=u!#)x+v=SV}s!ByoRR{02RCB|`OGd~mEx*94bfb37Jy2!0 zI#o)YvFzTO0jWbQ+Zq)4iqyDswt&2(g~=`cNy=XamC>oIR->wUg~O^1ITi~+C9I{) zJ3*oi5bE$RT?oU#9{fHnfqxcE^NNnB!y~4f$}Uhni&e^&&X~PbEK|MgRF!BB!r5P0 z2`gw0Hf_56ettcDsg8DKi9a^Q5#p$}TF)oiZh>w^%@u8UzIwI;CBdjaJ^}8Iba%8~ z-Xq?aab**4<{p2e9z-*X#s-Cm&)h@n+coFUU=r9>p@XBE!e zuX(aWME+Q|z8-nxo-B$)tK^((+L-xqEp%|MTQy|)Y|!cThe0RUoL?`fi}p9F+QB_s znRxe)5H0F0dHo{V{RW3YP)0xu{L{=jTIg_*cp`%GUX;n&!?AzMNbnS+7ptd8U=6bV znTKnw$HAuAozA6f*5MZaOSFKuK-ze3gMhcK*FM;hElukr;b+#ISFKlAzg5prZ>s*A z{9FlQ-Ce=%!?I5*LjlwKSYL8)R=mUWRPq}1z!CL_vMlxQnr1(NwsgR%eVWPFwxv1Z zmU=5{l}S3mCvug|URjCUfB-}1HuTr8zk}-u6G@S~gMAODzl&MMST2@ztMn*69yMBV z5yvO0hvH)v0OohU@%Y`0Iiq7GOpV)3r;Ju~8tDnPs)D86hA8kP%3P`@mOV9GlhU33 zP`P?_I)*=LpG?j{+j|0rni1W0uOBD1Wt4{OP+hUf9!X@nTIPW??s0!DuFcCXhilPX zkcAVy8jcg(+#qoROaGDJ8})484WotUmhASnlpJE{bQBI|;I)xG4yi@&tdrG*)F*6Z z{52MMX7y8hSX=ZafdT(7GRkuVY4dX=cIO_yiKS<{S1;JlWkp&*wsq2ENiy&r?yul7 z##MM0MidrC^`p#&RYXVx>_+Z7s*S--5mN!RRr_KmwQi2dUUwAv{m)-?mSfjBc@5f; zteS|XIe6nGxRpiueRxJDTz?PNI<77+y1@ti=QpIs*?B+sq0o7pLnnAlPA1t`Yb%hX zW}0N)fidioB6S)8KmQ6F5wg)@uWjvC zk2GrRKf9KKx6UGy$*o|Y+=KgxMbI+W29@WO_cXf&?#kkERp_+Ep0ajTga3SRW?UEE zV~T2A;KV`Rc>avvCs*P`2o~E^?~2f*s-5JN#QDCNMWmhzXoGrkS>@=EoeU$`g*>Nj zDv9WF?#EC#RALc~LSjMWe+*lcnHi$+BY?+#$hhJ%{SJ%_vJg z<32CYRyu@(&Z8YCg?NXT=@^)f&@UU-!*#^x=+_(rdOSK1O{$E>J`BeT+DI%i^CH3K z;N7ok8#PeTs%OvMd(#J#u*JiXDxQ#%x)7H7oSvf%FK`TJ;aB?~{4?TOQQTl^l6X51 zWs;S78e9p=!KO5@Cqdpf^*g;hhOU9nkn*VA9+CLc{tlga>Z0~Xwx3XZfPL_s!~1#- zhAnRZkQ_%uj=;tJ7r14OR@l{A`?#JT!EV7c44tst*c~z1HFgTweH&7R>9p-8yop}4 z(2ZDDVHgoQdd<|_!hc)q)Fp-}fD!s5b|}1J@`@r7rVrUo-Pgp{*c1_$bEW|m@layb zGVF6|1cEuHUj*~4xsrRBYc)<`xk>ms-)Oc2A~w@yKhUbSdB&N^WoA%jF*H zgl4IB4S9o@nRCKKT``YIw|?(|+jsIyZv-nUI!2rVJwmvK@J0)z{e?kSZ|A9%#r)9^ zsC8^BRzjJH9pC|V8iuR?NsCjSD1u44C)YGL>;y$QsmGilw&gcW(tO_TGrl_{}ff$xSW^=`Q- zH7#d-3-*y1SBPSkH{`IHUFgN9$Z=%`Kz@xx3ANxMpkmz&W2sg<7i!fs>A4|j1J2*! z3u6`(!-r<6dYlN4J{o)>9V~G4l+*!G;`Kn=Kx_f21@vDfQgnYU?P`G zgAt`g!fir*-UNA~9$)l>waHX`Yel*Btv^>o1Fb8^+b@KL)LL3Af;1 zagsvhavWMH0&SF$Bglx(mEG1~D#B@MTWjg~c&i_eyR|KZe2MV%iNAjVC=XpeqorSP zM6>!;wb_JQyu2sfA$h6~4~Fw6z>6$*Hr}KYQU)pkr)7n;|5=HqUzFWHr2sB#2Agda z8&V}&xQ&w=zN^*Yj$G^MDF!^uTV6lN(lqG>KdI-+fMRujvuTc@7_!^s-nlB+oyrFb zmnu`BuGQCQRcOlr!9Aqpe%sYYvrv=6)C4jR>cu)~oX42~m8bN=6G3cdeXs39c%p)|ukp=}BPcJU2CYYP9d&jHXG&&v z$m%|IB^rV3zoeP1;`UvvBAR4uQ3*f*l6RP(U38zJxxOY2 zk$NPHT|OA?-;d*eFW%!@(3Hw_uWQZH4F;+lJ2dm7NU>)_PBuxJz($H!Y3=KwC z@gU?!ipi;<$~M>?Ks7)Wg%`2zF>K+0^XZ-H6ImL+N7_vQO!{Y7p6uhQHy!F@=atXR z#bdMKE~Q3_>b(1)yJ}y9U6y*M!q|R|ESf4>jqcFv0=fpD?R7H@`cnhPv|ybp(O7-U z6K6~o_-SQVd`+k0huhH$vCsnojx{0+u?GZylcztILI#=&AoXe#a@_izERx9>&FHb3 zSbtW1G)c3w{#K%5ZXJ>s=diOO@+6qOo36dCcLED=4Y5k6(^awB&H{U|VNz=&rBURk zDjBa%;NcH7tTdWQsOhrGFFyRnS!WU+vu0r$ zril5GfxXHO@C$)n))FR{zAwfJXn;m%6Ex9X3^&)WuQbz!{)lkVHOz3VpFA%o!M>#& zSonHBwC}d`(SzWFURFFYV>bg$4(0HWCz}!S@kIO-@157H78%EZ1|mt!tcW4_j6``% zUL#_(U3VPEW`Fh74^5h8q%%kybbN&RI@#&|gF}-6Sc1COPJENCMpK}O-0=}^1inFW zIAuP06s+-aXnA^LG`-+3g~l;3yoz!c8;4~vUt~)*vV}Vn?lx((XY1O255L_S_~XtY z-P;?*Ds*xg8wjb&IL`g+{Nwb~%({;bi?GBmdwB>Yx$@xeEPh8k)8e5GtDW8upUNwa zuk$r8pz-x>L<- zlQI{a;q9;JJl%T$Je?<~bvtH4cW)pL?bvbwplzyxYhZ=l#hw^fE>;FH>W=CSE6a*{ zV}^FoErrd<_9Xd(z<9r-KH!|=FNE#B&>}%zB5B0p`|n>VQw8luKr0jaLSVw^TM!+K z5Lv$6n@67%o=OqZfHb(-M8E1ZC z@X8bS`6$Pn|1!`Gvv&<}gjo%DJ+x=6quKn;FFgsCF91xB;YSFv`+BH*~IAmdV1oY2V?FtHK61}C!F|rE&#@6+}1gw)6(_~OB>-v~cm%?k``WYcAdCsnk`=mPS9!{4lX(`Sq zi%FxpYlJRGiC9^?Pk_AJ9}oR;$TIHWgjk4di7NLl90`S=nbk}!Y;)=xyv4ab1 z$U1#(bU?h@e~I3BM`-#}j8AH@7=>DgJflpAP!0SlSWG2H`N!4X4M6@mhqxihUbnk21+tf&8Gu%m;qH6Lkjp48r3s2Ikx!X~|zBQKt`WW5qs{hh%gi zoP4deHZFC7&)*`*WNF77joRMtq+ zz{IMQchyC3+1|7PvQJJ!vKFiZJ1mJJYt`r5Nns)a9{AOgQdlq7Fg|q>o!Ow(3*$(X zD8X8Vv4LwLR(1uklqcG5K& zPM#pSy{zwYb{;eU>$i`F7**&$x-XPwu{ZhS1P`zS9G9RC$T~|7(BD&`OB3-TCXSZ)N@d^yGt@LK_xieiRTq3aR*FGaX zxr1K(0h8*S08BWMJh^J@9qED17=lPu+y3Dj>CO}(yeBE=i9}y~zuEL% zKgn>2eNN8|nKI>}hocXAl}5>AH+$)xS<5;LVS*!)xF;yY$j#WsbZfIF@=b^ zcE-Dtx7?4S*`L*|5yw#5{)|q0Xws?4%AYmdv=3iz2mFxH>;%NGI2^+z*p${GWaQ{A zZiV@)nS$2|QCywA&u&zxO$(bIcfbQrJXX}4O^PCopO2>_^agrjCc!>lnDq!C364q9$ zun=sk>p!*~1wC%)_l#G3GlSSen9{MGT_4DzXdT5F<>5^Yd45aRaj^a!eC5K{^5%)3|9-v4=hE&C*y@%sBTG!#|Z=jE|VRSt@ zf75b^&H4a$XN8W=_{LfWMsQ+(q%jZ&4RXi*iTvSmEc6U|2fcd@;XH)pf#mQ7?txrf zn=|QNEwHe%q~fyZPjp-)uQ_FyepT#FxCGBcUwXFh5J0stBVW$b4^_=zez#(2e4#eC z4#4=a4R)Ua!wnPfMEKMWl}V%}rE%bzbL%>X?g*{&u7J&j^wF6y02P}NI48#7g}zCe zyK)I3O1Z9_V7$&r zJ%r4O7T{=3CYl`aZ>|IFiZkv%`ObUo#&u-9kRKR?$RNZR7w%h z{}`TUsz9?*uC$=juI&XS%}AR<@b|*f(jtd+5xiRVv~~gQOW!}iITmyI!aw&*5~Kfu zEw&7y=gSoWN8|!cco40f!?M6Gjw3&67q>rt;mY33`6Y@QbhUWI%VqfEJH;P&0ZjB} z?g(GO=Fi+~F`P;Eqj%tb?b1{spcQLZb0p^`;-~8Q@HJ>O5dU@hPyjDenlfFN?d#W0 zUFV_F+$aD6NY85sk09*HD28Zi92`+3H3M)D(XSYzjvd`=1N3V0oLrZQ?^$5|Jg;0B zaqbgD@VD4ZmIKuSZ8Z}mFT0JdkK%Oy~2+4}Ji>bl8mFbob_-)v2J0%+*xZ-Du> zB5;iD1F7e^%{z~Sa}Ss@>E1duvgjW<_2j`^w$*BrTwv-9z7({<^L;!mY3EsPz zEcDF*q9N0>Z8L^Y(c{_f?zDad#drzdIJwWbdKdmZs(RB{EkdWE`C07g3>SP1k7p0lo^{~*s#|p!y#;Ess&I3e z+oLUXvb8BhtGg}$U(LS*4VWm^6Rz9bdK&#C=O5B}Wd$7!?OunWyZaMjs#tf5Y$wir zjLZw0;slRdLzB(#QeE540#pGZMc%;VhnuX~emLbOcXGKVe=5P0l&dl^*(lr)s*3BT zD;i~rfvJ)l@?-b@dJ6Jrk4|XzdxAi&a*Ny^)b-=5uY zA?q-1J4(>(MsPkYq~CcZwGrV%q-Io~sWkGI3K!2SZbF)bCwRFEIZ^n%V@2tef-d6i zQX^E9KH?}Qvw@-5Fj$0QPDqGO2O15m&THC!FnZ5XcPn6(UM+n-V1=6|M8Hv7&%Vo2 zgVS~-mav=bcd{CGA%M%V&)0+V>oKm#v7a&pO*KNeE+i5kj%7+6doX@8QgM=zzttDJ z|Kq_TkTT?UuDoA%z23-c07%EF)+4syZUzMMN4vmL zUb`iEoM#*Pe4pw49d__|;|7O5y8VY{*FKQM$D#>%YdAdZ)MBP<1ulaN+F0bn>*72_ zp6tBSN16v!@I9`-*1#+U!-{C`XWHI!0_Uooqx%I;(De&`u29oe!=V1QHQf6uu7Kwi zp#ooDhtK<&QAZYy7di8(@`n>A5vbmY{q)@F4YGdx6u?6i5g(yHnDZeRPg~~18If~S zVB3hjA0~U_l+t?a558^thlaODQ2X`tNDNQm#hPs-X9spW7nzSLVSb~W%21KVu(no` z{}7#spR3Lv$n}*EP=53V8PSy$>|LrVoIbKMHc?id5|%=`EAdRaysPt3x(<_-VGEjU zIepR)Lx8pNeQN$lrgL^8ASZB=W76-={OYZ3@@b})^KaWLb(F8SPhK~x{Il_EB!loV#Hnha-n7*KA`11*W|6dPUp2U%ITOM(&76(se|c@ zrUQ{)&v`a~j6DZXxmwODn;oP9wFuon2k|g)qtzYpUGoy1OCsofms<2jSFy5tNu5q> zoAE?NDK-F)jb$0+0Yn3pW>@CmjWjkn%al~04+rv=ri=9Gju)aXTlx?(jAqiFmX$}dzI$PQF!1{X8p3yAc^spq zN!dh3NB;KneJS5g| zk)u_gIHW9>_srZmJCM=V_W|u?*&w2a_+dVz@7oKr)s1NPB#N%r;S~v+zi4(ScaMW>`iV z!SRQ~i7P;hPNt>^>W|?}Vl^R*s%xz3>N4rU_W@0Q@J&F8ChNgP)_(O1U0o-Q;Aw^6 zrfJxRHg&`G%t+tp*d&V5-o@t80D!M@a8Ejwy>+1mmHWMw*2a?eb9K#Xv5hWKP4*}z zC7C0)9$$x1X-lmGvwiv#rVCchdhCdp8|k_k3|G9o(%(Ss{_|JT?_^`wTAAH3-Qn+f z?*G{y+Wm%p-6_8O=5E($)FK&KcB7jBw~4#1L20TxyzPX+C7`_78%aGW1t3ie{Hdi8 zoZ}Js$#UMyVm|x^YRx5A8|DDnic%{zy^|fj#e03|p)v-sN#Cn%D!*@oBvDCt&gZYW zvE7_DGf3#g(T3@f{+{r>7~Bey>j$IdD4>@%W!>pcy&T9CZ7(RQ`Uc1LnS_EQ`Z(OlP6-evZLv$P`s>pgb%U$H6ck% z!k{+stMFtmMU{5svUO(bqPe>@BbT57#Jv^rl%?So|5J4PDMwEa0RS11rv?n*QKwaB zOZ||`nekKeUFG867r8dgnALvj`F=^MEk&3)+@Nb10lk!s$5h z>0pI!Hm`o7K{cLT1F$#*xnMjFhjEH4}w8?o$)6f%;?ntxm6* z+QLln$QflD;czXgE^}mQpZR?pnf1y~Vm>MvwE$Za=dgqf5Zc50yt3t<_;7GWw+pPTp9R2>Fdbz{*u0s0M zO@zVR-vw`Rcq|YByz@UlJF=icTvfx6>BHZh-QWg~?rr~hy?1`CAH2HhwH15S-a);_ zjeB=>uAF1r8v234^F_d-#N`#G=~3sgD*gl2Q)Q%cq`Y!OB^SP~l$E-w zR9v}?Irp}-JXw5B0bR^I8MAA|sxHmO%lRZXw z>SY*rxe7A*Zy1{n?ntZ!KO1hs2eZ0$V;$lNIZ*)>N%ad{8LF5SzSwi?P9Nl%ou$GA@+sS&!dNQRVK|&2!P+#^nZqGalLVOJ5;aoMT~x&r(urKYn-nYd6DlGPjZog z^p@6=EtS$(Pg~>_rY@u!&xepmqExU|IylV{VR9+K-~p@nvO>D|pI}0<2(S-reR4x2 zfcX2bm_6C%4PD#;LKvT(YTwiD)094+My%>X0Yzoj?_D%p38IHVa=zesJ*A~^W1$YZ z6@HLxCFQBDYHb9W#vL|`*mQjO0jjFtfHL+1_li<;XOS3O=N6OLF_p!J(NJly4>&VJ z;YiNkFX>}FrJla3xl3dt+YJ`V2NtRcDjM!#H0rqUQn;}zX2ld8 zfYDP~e;pIm633D7sX^?Nj`1(54v@i7I&qf>kj^^$hC+jgjagTeHDp)zHAV z*(;mr!At%n#t5tgd%8sRitDpl@{73kG~;| z>(#1semObtNCHuULMZB z=OKx@qxpCQv0w4FDm+h}I)Oo0=TF}IqRZOBQRD5YNT_2n-9xk@Ir0A#%}EmJpm@&I%AtuRZa ze>*-}G_N`;@DmNK|I@dq%S#O!(~{q^HHF`hKJxiTo>xI_I<~~>^zD24~5{WBWmtv zo5y;uP*cFQN7!-K#NPYY`ZNZfRJk0hiON|j2#IhZQYn|(xQz8}t061ysB?|yfALi= zEruXp>^SYCi5b1)Pjlqixo(it_2GQaPD|-NG2@PKsn32mHRfR3l~zfMofa=>$Bb*y zkYmOcZQKn3j;@#hCka7WR?;x|5McN1CoARYfZ=f_l774#{p_iB1K;nitRx4>W!|iB zwhy39%3@i_6(wg?5$sEQgh(Wo3wGPJlFowiN75H8Pn`>Gu=h!nzoU=ZM_up;QzQ4N z`{UvVnFIrpv912mTrH&i6Ll&fbtxNLho;CGPMD^uIeY+co`Y(xtcGbsYY*!MjTi?2 z-XVsh!XZ}zh;I81mTcv!=f5>5PKm2#|5|S)(?*X5n{UDdULKjXv>oD9us|V)!-8nz zkMeAUIDWcN2SJMs&OS4r7$%6>QGR+R);kUf4me3?q#BW4;Z?0+T~At}l96f)@z`@$ zqOT}K2_Z!$>Sp$n_ldc>&Lz%*0*qpW5Pg&8;gA zmo8Zk8vfGxY?^t!5g6@AV*0F#%5-!m^Ir&o5fYiPt16I8jSeC!D6L7Q11z|0s2DpW zWd7%TQ55LMH%<2KsgJQQHq2@KO@}jRGxDx+qu53(W23=K319g7)V~J582bJK>}7Ss zWe4BQxmT>S4(C9<#3vY&e^t|<*=2{qWvJr@trklKES{R=X@VBDNNs(`TfV$14dv_P z^b2@zXBXo$+j@Ed2dx=Eomojm%$jChr8Lakr=GY$E8*0lPAWNfF@=~7_x6F@_1o_x zXTGCMMT}Wa9?lycdLVgYlehES0mF%bT1l3Gyoz*@M-V}iYnGV`)o{V zb`6T^$@KquSwfe|rgu1oQdWTAy8!+(@MC3kyZ*&@tWnMy<`kSV3yuAH~M*fGhis2ooQz%Rs0XB1>Xg`OSC9^Zy)ncfG%gf#dBEIHWl$?a?4ebJB$ zOz^s0e~?sY)#|c`gUS4`9(HVmj>gYch~3_%YWO z!2ixH?{YI51MlJQKO*33U>SPN>IiNyfXj$E11- z#iSa|8F-Mypf`pz$aFOsE?6PEC}89<&X4fGDk9HG|;dwG<8a zSkX5mA=7T%y9-8=GQMzBa zg&6bQ10W$rQg}4mciO&v$t^w^R?hGj44}mWrt-8f76Z(2$!n7!2Yk@;n%H2tINBUL zP=SVT2w5jkOM;Gg5;B*DG;UhT#6#0chK4Zzuo7o-;)yR51FyGe!C`5=A~Eev{@AC_ z5x)Ty=O_6basF%LMU}^Eap;X)3hUpz?;#`ng~0Q0rnGM{KoPHXRF5c3(Kadc0o)CC zScsdMp-ry}Aww*1_b(Qs7~}TTD6{*3npHgWrhdbk6$^plBDprX%N)w)aJeq+2{Sa) zCAPhxCKBN{1wojZycX}Y_p*1xI!0TP#88jEz*K$XVbBk1M9$uVCpfs-<5T51HN4JR zf0{`#1aMy&TQJ3+A*A9S+m!?S!t|=MGvdS>T)bJDztu3cGM(}F=@X--+i^}NHy)0Q z{p4I+Wj(t#@kbQUSC?&7P#^-!>|k;Wd^e+f?vyP<1IhTL3%@n76(O*rT@(^wXTO!EE`tX2rs*`QRC49Za^GuxcX%XYY>r@LLwwT4$v+i|%f{g-KTLi$^V&#}}zv%M=z_*FaUiqUPHdnJ* z^ISSV%b1y=LlSuuJBIa)mTjN;trFWF@}yQWD4AcXFqRBWVV#P;*59?PbQQ0qq#+`7eca-{WV`nen+GyI!h_YOLN3eEfDR4NV7XgVGTMr=OMb*l z>s*`?A`;F3qa~2tVvsOUjy_!Y22OUP;AM9kadTd2ihTf-jf_#ymdt>h=M!MX52ka& zzWr(=NY~-HnfoQ&epQr8%5M522raOEk1mf>v#8z>Can2IuIw8ThK51WQ^%UZ%+s^A zZJe~F!)vWwyXv!pTgY6}fC;B~C)|$+_<-(_n%d1?$l(NE{_ZEZs(4`z6{{eyJ*9O^ zwu83WBw*vAIoeP9i^?eO?I&3pGJz4EeGqm?4kKX?=Sh~Wd5v?dGIlfag=cyRGjqhx zJYe}M`yV$OuT1$%QIyebXEitjNtk6{=d=|zj`^Z0vzsE%`-{Rv@R0_nV*NN4*t^2f zGLu_k<;={olDWPpAw1?|;8~b~hwHkC;Z)806M$Rqh4yoP1g4(~$PwgVXIMl!g8uLo zK%$5+hP|W96swb2LaNv)WPM{G_CK!j88EGGC0s8ne-35Wx_fuIFEY4}nTSHI_8Xwy zOHMvb%_x#H-pfJI_66+eI8+?wIV8lG3uC|sxQBN1CT$o=?8Ll(BgZocUR-(e>wD=| z0aBkI2tF4MHQQFdLJ@{_>=xZma@K;BG(>;bEJ+$ksgy}dXuZ6o>-{!HNg*;5T>QIX z$tT4}I|1Hyw3VWGnJHY;%1Fa^eb86i(zaFJb+LG~hmQ?f=LcJk3OPlb`PlRaT{I(^ zRbJez)I?JaJH=Siya(kzRzL0+#bEhV`e{s~DS}PM5KHt< zmRTL6Vt|dV;tK(hoGGUA+ZYF#76~7n0KAqd|ETP1PuJ0YN8)7X>~rMOdhUw?*hz5V zRuo?KBPfU>`lMiRu^x^`JWwU`IB|loS{*w@v;fRP%9h)bS7Ll)IcIhN&B2vV2k^V& z+GPzudmVZ#D0#r^+2hUH z+Khl2G{~^uwh;pr2r|^Fc8?5dL3oWaKohW{NE6sG`F8JZ?`^#C5P{u_F_>IH>aWscSdKv)|JT?5sq zxvgh4h)9J6l)U^c`A#-FW_}QyQD}!CGxuqKX{09BaY)TPe=Rp(VEC<_0=yibtQ*|B z{ye)o6vpEzt|OHvpO>A^yZ#2@Rv&_Lp4GIA($xFzPh8aBdg8j=xV`JMcw^zdhJg`=rbPK^CZCDqD6fcG7ac(^LcpAPvyHq&J^(?hXd)po= zXF|TK*NI(U?P_UkO8>Tok=~f&)No=f2bo_|kWmIt{|0 zOL3sAHgogt73kIKVaG_5%9BD&OHc#)&hW>0BwD(&Cd^WE$IMk<78>Dz9t6v!27Px1;}Q z*|5>0zJ$l`0`TZH1(&5qPW}tT*RX^Z=2EsjAX!@ceea+z{ojfspAEdFccrPvP<^pw zK4?qtjkd(f7z$y~<@wwjsnhI~O3_)lW0BtEGqT;i6c3 zF#BLsz2yO^m`ReMGyha(8GWdC1w0DUlqR#@ zS%&A_U~}7Oa4OdbIVQ{Z*LNWRwG2HV7U7(G)h>?A4{~0ye501wu`0B5bPzdscwwpN zmFKp-$v(X}ZjsNDq9A+12|M6r8~1^@t6Lc=8f@r4-Kn|v9^n^!8wtfX)d4#LTxnjX zGOta^^Rf~;?47(Q?#zw=UkN?k=me!NHv&Z)mq-WcI%vCI(W#T@J6}ym=9OOpQ8kCY|+!!xKw`-kJwhWoEQu1f{(KmC)j zT*&`u#GKndImp%4e>i;`>i_WZ_Qd{CqhpwV)b_&TU;Fv>+rR!#?&kl|u1Dp6?P%|S z|7cSJFt8E)f6OZaFg)si3^WNa+<&S~nB>5#|Ba#PjvBc1pG+19u=#(ZXo3*{CjY0- zBns^K-}5vT$^-xTCrqpY9R1&jo9YaJQU5W+R=_&{nkejnt^Y9z&cN{u|H;ti1DE{6 zS}X!KVg9d%e--%czXxpM*#Xx5C!Bf!?D~&EJq0%ThwgC$JdE%y(;x=2`%jx13}oQH zS!ue41CjbC%Zm(R@Q=wv2SNBJQ;7w_`>*C44^3lzMx ztJjE)9Y&*V$QKP|7h_&8_^q3Cs%BIOT+fwEAp1mz@ucQ0@oDHSKAGo10OZnAD^Wxf zs(J{*vQZ?T#ec=W(fk2EzCC$-{CH#s*JSz}_3nB#X{zt(?FnG-Q-9h4JI4O_yQTke zGHwgY#|?PZCj?yg*naISy3(}EFQ1txx5Ur;Zov3{mD#_uv;HjXD)D}*Q*o~KaXbE=_Bn9H&)fW~Yb1l0LgAgI< z&A-d}v#SGPnn&dECV}Q+1L05{PWTW+IrmQD{p@v(J}q79g{pGpSg$D{O0)fSDydbU>hvsK0R9iP`wBC zaamBhP^-2erSNsolG?U~0R4Vrr0cGG_P$gUsF`T_{y0;021_1g6t$D>>f!$hq7V2? z)FW*A^5W-C&GEqKii*r+gUMti#|7cw76!7z+lq*sRL8++q&kP-qtfVi$+mz$6;2VJ zfQ2s%pp5Er|2PWZFX82whiV7MOa#sB;185hwXlKlEZG1Bi7Z|}$-7->_3a(ERJDbU z(IkwCi~Dr#u$djTak3s8LDSE*g#iprvwxl{nMN#yP}tE9H)`h0%?NQ$GI&Z3j!vmh|7VeiU#TuYdoD^uB8=B~}^9HU?f z!_-5}V}^EGg+NBfl8>`3qkMH`Ps))B*-mLSKNGg>bIymiKcs{^;|`L;ZUUYQBR*i@ z`P_|-()pOls31E_jxd6Q#0t~KP9})#i*O@b)sjp$%7=C~m~=$;#3D3G-h~rVGNG)m zdYJ0@KR=GUK7p|vg(rsPyTZNi#{Fb&Z-WBw8CS*@8uGLAu=n?Q>HS3=C#CE{M!kfr;C z4}6I(35Pf?tQb2j;)XUGd#C$Ibh5$S-><2$*dkrL3Dq!9!MH(f-P?w5_6j^lFkd?_ z=+Jl9V?if1Y1s;d5Li0t*$RY6n90t}&g^*U*2469Mu-syeYwj7gDF{|y*mrRXMgeu z!R67UVr$dY)?hxifx=M>y>#A&xoJ3}vNWQ|dE3@8p+hrC%NoQQfK#KzPC-ryy%L|2 zrBN)JCwLc1zw%e=3DY-G<|qD$rE5r%Ud^Cg#~!1AZ>8FJX(9YdFD3gpx-}?zp@upz-aGh)|bRuDjSe8;yQUV(6BZtHJ7!HTkZIgc4fC!LTQmb=t zmVg_}Mv$B+z7bi3ZL`=&qM_r}Z(RoAlvo5N&%{E zmJqh^PD?Vj1rY_2tNbJg=4G8oD=#FX>;_e- zq&ue-O0Rvk&T0vj7@-&dUV-*Vjy_PGvL8o<2qLzy0uup%<;X0|`;u1Yd^IOoi&GOk z>D%OvbCPgORS5FN_yalZ()*HE08&ShOPWVyekf#0MMh66qJbh}mPnQH(^^(sp1vL9 z53S;T!2>;}3?#d|@~W(4j4+r5RjuHLAI=XP@jcPN>sn*l$1G&pq7PM{GEbMteHjus9qQzf|7Y^ed5Aqb9@ zpgr>eE*7Ty&Jb%!m@uU=(!3Nov*g6yoe0(v88B9wm567Yc0Qbfu@CEV$eeBehxaCg z2*1K#(e0r@H>OP{hzTm3LKg|>`#NSku4$db43i4LQr|Tr-EWK*;YGBSs9o*sg-TLO zB`Ak5MUB5KhCB0qSmInu<<5wbE_i?V?Af4NC%NSMlS_h-B)m#y%!gO}>3g17*>`Pe zcV*EebJx_|i8`>;SuA%%oM>QdX;5tI5Hhb4v8eyf8L}R}VSyn9@s1Y3y11n4*0nmi z94oK`X5dVasrWtf*aj=uyhQK|G`LR1l!1Pas6_m=N~)g>>fHlp8AQ1mv-oS-g>*Gm z<##4E7navCqaC6=3=)PQ+^kjC=>(=&^~OL~H^LIOxZ>&)a(YorC9@*0OzeV5LHW2W zJgo4?1w0~-El*VNyz~wO?-h+xJ(mr&h94*$pdTW`^xK}nn&%pKt=A#+nn5mm{Ewhs znHI~@ZChYThP<2ViRGfvj(awck@_uga|u0n{=g71&X645Ych&JDW2O42A^&+dc9BB z{=MHU%)sL#u+sIuN;36)#hXwg#KH2P-VojjP%rya`PPtRP3X4lEtejPAA5f)%ja|v z0YvD+7-fxE2gFt6L^E~l-stzX-2>IYB7pRyBt6#r*62R;(0vdRdT_=+5iOUpOdXs1 z)tv&y_LuK;fBOt}Ed1~0{fjB&RX8k_w@H{NNg^owNh*mVw5EqR28)9SQO9G^+=A<}otGriEFA4@v=5jHi+!~xus;E{uQH@0sRCcSc+>d zoNGv@TdNhuJ(Gym01+McJ>eJO&l-LaYBcWysZay%5ou&AKBKhW{Q*CMB?NHo7_QOf z)a_$S3s>~oGDM*E!8Vm6d1I?U{W|4P; z%{+zG*x}=VD&s~bRTG%Kh2lc{A?1L8n}Ri%Vhc? z{aj9*h9zd)5KQiROwzU>_?kg7=QM-jnlC|Yr1UUH(#}mEnFe+}C9jb?uQz#LxX>d4 ztF>*ySZ8(9;&gK}nKD$a6(CVs7g0u0;{dM@^|QJlbWce(%kj?K#Pnm zW1Hl-)&mrx6^{A44}4CiBCsv2Rb2bpQWAp#OrJhMEWSP-wXCv^BYdvC6}{#kW8zi0 z+{pj6brn!icI}p#LAqPIQ$mm~=?>{GLApCfknVnIX_1gFNl5`I5u_U_Nof%7Am6|4 z{rxU$)?)UFz0b4HbKa>lb0*Al;k_sIw)&2`r&h!jL#lVQJk}T=s z6lDZ2p*U#AzeuaYDyzBul!gQ87WB!lk0OV0^@>8%x413zDLNOi);23gRo=dhdsk3k z%J((s;%iA4+e$(k`~Y=x%EI$9-3&HYT0JA@)J`o)QWsWn4yjM7ZTeS}p^S1K zJm*m#Px?)y$|Y)f@#vSoZQ`lq1}?kl@w8|hqPdXAr1PX64L&U>Kf(~;`Q7KBy1HXd zh$IW;YaeqbGn^m2BI@utVin>d>#7y$ss*`H%M>LqXE?U~grjI!g9j&1xL6o zo4z)?ZMu?s+cdl)@S}%88Rt^&wb*pED>mPYGZpZd24^~HeBP?6Kd-=} zkU)Dhob2=xgK+Sx!C35Cptrwvzd3c|>)g}IoC)u_Z>mqlm1k%%id6Rf5vbDWgwQU{ zw#Iu$8O}uzbK)35ZvH$%Cw7sy6gZ(oP!%}ALG4_gQB1|;VjTLG!Wh&efL?d9XOs@* z)@_N*XL8O2?K*&y7(R(`g0T;>d3Q@FBJxZ}HeN30+n9jYLsUHy8OiXI0d2<@3! z3u9j<(i5n^7M&SoNSvcmbMfnd>Ajo~@(s%^Ta~!$JR1SE(p!ii;Mtb8% zTSCGsE+W}^&JUPRe2_bvW98}th@B=>Dp(~_kXCSI5F7Xl-H@KDBs6c((fM_v$s@+= zZ`zqVH62M`+Ho%~;Y9v^)!+Yx#+lU5&Cff!weLeA?G7*X{80R`(Y8^8gxWRit;tZ@ zwHkXY#?EqIzvG!~JY}&dLW=h;s82NT7-ioLJG^eSy8J=nE=CHxPiw7Lt5T(r3T8vH zm1WEI0+<8On!L{a?KahrBOuNf)KH1$`;Z4mRjBQPL0toL}Lni(BdF{4#!*P zLEpx|`Rx3nh^uz`m^S@OK<`s5=(x=6_1-Hk&J{^1 z585$x4mAexCOf{iF4~4_2QXO5edO1$27AnsX%^GqrxAPHulgC0k8=sf-o&*&*N|ed z^OnI4cXiS#{>Cx?TJJ|4`%Dp)Z<)|$)}A8H_}B}YgyHe5a@~iVgBO= z5Ibv2c+3RZ8*3fUTMW0-UiBQ5h&L>#RIp#2_z5^c`AQN^c$d;ndHQ_>+ITB+IsLQr zXM1gG9tGt)@D1k+)xCeGWK7%u`RWl-%1WiU%f(duT*;$vEoX2UfHB=a zeM^1CStnacNo`M+0bX9OK7xul?ltj-o=GW8Ek&5WcBw;LG^fl=gU1&<+PV`mA#H8Su^{~ZcA+H-scmHMIxWp3`K~3i=_sjsW z=|yh%Zi(p+|FEl(6#B41T1N*y4}tGWm%QhbYS{t5ZfmorPc5gPkaxYuy{%?x4A!={Gu7N|99_EjHEi4cp$%7 z`n7*0KTya(SrpUyHrx(sl~?#~h zN*^~ z6mJrg=wi%pIHi=_fca%wCYsdeO4m8aS@egD30}l^TjG{EdeDAourTcCBk*d+jaHioCw(=9t%EJ&OQ(cjx*wAQdpV7cE5_Z<5RM|<`EzlUow~%~wNmGe7+B&XcKk3oWL%v{M)k8|qzbFTP8#>fX&S5;^l8 zw0!5F4hL)pO4n>`g|`@;22>M~QK@3VRX%mUA_uhJ_oqQ*Z-f zB>{3m7VdmxEtDO?uS;tqplouJ&0}RjYSU&XrA(>R)5P6I%yk``9-k1`4^p9v1^p(= zO#LBVcPno4JeVyM!eA=vM9#GSx$8D@d&7_DkP#qS9iHd=ci~`padY@Kw zIv&1u9l2J8Tf437E(OC%jou;E+WK61)=X=D^N`iIa?qwSV#n`4orW8Q&2jSp<{AF} z5<-H|t#GQ;cVBdHY*FCR`{#N!vNj7-C6t+^+!A?Ob>^bNMZdOcr8CHs7q6jPk2+MF zceijEFmAs|%Df9|r#NexjcY;lZJ+6IrkMJgw;JF9M%3UMR+<(6&}#NQU-A)>TD6@) zi8liKH78Va?WK6%smGb(c2V&wdlEuC97XfJXbprUxF_6u$JZXRG)0Z2YZ~C<9p2JH zHDp?cMNL23!KgYb&6<|<_`wgDDhP@l7a2FF&$ga~4;U0{N-sty;d~LSIQyt*!a|Ii zE?4l{Se}WwM9*%(LY&lYH!IS+E{Yl(>oB?VC^`uG;rmSkC$NQ1MGFpRjE@{IqF8R@ zsKE`|J;C~nG4KXn`@-}>$c(_7d}n_yr>}P}=SzdQH(1N+@TQCl3shO3#)ky~J+%b2 zBuEJebVjLI_kJ+#g{$A5s~t-g`)UB{v|B8P_+&Q+)JPR&{T2_lbE zX|f_z)uP+`Y2lTClR2d*cCbHYKX(8V2l`r8-joCoLZ>x;MtF@)q7aEZpoG|RS5_y- zZh=IeMPc_nh$bua*GlA>q()}F^Cxmgas1>7@!tYIP-4wTta$uXSft)6B4}s8^XV$1 zoeBbC$FI)~264+hE?RkLrB)O|ZBkEM#L1hWe$T}zYd>$kG_QkK#%W6GT!7!FJJbcg zWjK@qpBLaB@&&TvUN8qTAp2!^@*)cTg2Sh> z><)&?FSo$oW_6w;-=KQ*C*&-vsyLN1@J-{A?jd6!syKBr@U13Yf7?pC%`4`z5iIC~ za@hzM{HPt>qOcJyz~I_(78h17=|?{0%Ohu)ev@2NhL=6pX(9i5x7+E8MIu0%AfwOXZUHENeT;Y&VU`kOo5VSz&V;D6=%NqZsq%U?YO!vkKM|3`d zV!sdbd^Kzoc7r|NSq-~B>W0cOzI+EAU>)K%G{ZH%alLU843J$5Q*oB|vFFrR)iA`5 zphPO}xY?pg9@-5^?65MyIwm?wBua10AG`2GZE`efJpehhd0xi`_v6E1qb{<%j z_e>YvUtx7Sr_1g7n%Fzy?R9b;H}R}ZB_R&e5(jyi^_!G#XKwIQz2(HyX(Bz1ujAt* z)hP`w%Dvgfo>)b&=N-Q}v%-+Nz4+TTgMV2hV=~w}C?Gv{b`ie7hZxpv+e>KHtV@~- z23Q0yDbtKBhcYm>89}vEr`V9axvP#&GCf>UUwRt;FTfZy-jD7dpXC5((%+FydZl==Ha}uP{RVyG-{rpW{;05<+-~MF zF=(a!+4BAwB|5^uqNP>@!&qk7UFHwu9%!=MTU(UU6x0vpBp-(G^cX(%Wpd@Pfps;k zP^5#4c3h)KN881X-UAB3l4w*P(Gv33!yhF)+Rh?Z{pkGjbA3{#Q~a6JYq{q&8=6u6 z@?r<{i*Qd5s%FcfU7>oWo?Z~mCYUF$Ei2II>0 z8J!oYekacmF~*x+&}QNbkM+QP8bZS9H^~d3iuf(pp@q+Py)-2H{Sg|h;THpUE+(5) z6K8j(y<04*BP@Oll>A0>~O}!&^ z|@PFZb~HgH8wRSnx9WF0`w*0FGQ_Dws0*~ z{cl52@uEqKpXcd|&2$c7{>3B zB*rOH`6DEy5-M2D^AXgYh}A9=N_U8HWx4yjN1+Pt!yN6S1jC`)7L(kU1~dIj)5PeF zKV$8!C-~0Ty=|+HYaE9{!AS`2*Y6$+kTcI6XE<^L?+XgcCB~Q34L&3y-4sSxU@#BaWrz9uY1??-B|5$s zvhVZI3xR{4YqF0@q~(G=d1!(*v6QtBNGgyUPhOm;en9EA2{EyrQbzH~mlCEz#o-7^ zo3cxB#3mYuTEntSJNA!j3*EX@9is@$tB*7<(2QURX`*TQLD^zvE!&F5L}OZ3U4}4Pjxis_SR}ssmivRA(+Lx^SD~FMW@h7K8^o<2<gulvG(Kj39SoUh}s!2b*>*v}nO!DbIaNHa+~Fn)u458HAvJzURIo9mREz5bvh z9ldlwqBQo~hKtt_R?F4%NhpSS%H&}UmC~8_ON_;GE`h7N?;>Q~uc6uPSF7)?5ea+R z)*kUkZ6cicT!|E9-r=50i&@{jZRfLb@I^GsiXaqjRtDO`z=Tj1#0qngGcq)}_~ku_ zS@}!eBhK|1JKpdG619(F3ZyRPU7aICzun8d9J9w8^{o-jv7>?n7-MWFn3u(;Px4n6 zq|wLgCGe2*qgFc2uTqis>H~lY9|vB?bUZ03FoQ?F*XJYhk-UM^Em47wef(^dhcY=B z;xVu5j#SSL-qhf27C24N;^BD28h95G@-`wW&HZEstir*h(8{+)B(wg_i$1LpeQUQoIp)T(pE}$yq?;yp z;p>gl{bZiLYD&%~cVX z{`n|%yvSkh3B8u(xK^$Zti+;iCnORN4zYoOjI&lORA@35r4RzuPwGN*@0!rT`Yo^J zR~C#BJb)zSST(_%ee5i%1{(dl0mdZQZll{wdS@~ z&_S-Ynt1|rOg~rd*wZ|3yJVxs69G*#16#!P)&$D@ih1UNUd zj0X;zwmQY%%WE@Xgs1PCc0ZEy7Yo;Di+HZ{?v3Q3lY3Yxn>3l z+|{ebw@)CutrZJDQTCeANSfVMK&*&obPMMQR%6h}0E&>KwV48S z78Q6@N+4#$>_23$Fp<&hw&`^;)i)T;%HWGyl4QZDf+rMArsyz}fs*K`^y&O-Zca1% zotvEB&30BK4cq#9tNl4ocI;1TO0_hq3^c@%Z*_%rC@m)&k|@z~SDukcs2c<4)f z3~nk=NZ#3#AejTE?!GwDB((PKk&uZgt&)9dGMVH}Y8ks+CFVTR)J5}zNc-%h_8M*uLU*1)bNf-zh=kS90IYig^p?Zw`?&HD4mTzG2bLhceC!X z`#jyCCdy-8rx8cc`7=_7P%i3o_u2`$@Th(FzP4b_L47lgzw@FdwkbodKRsah1CVQ% zVi@{WkvaPb#1I?51TA-)#s!%8W+n?$RJw~&_1QLPCU)fZ{pV8UBv?P~&HK5TP%c%_ zuQdKdMNpDVF|B-@k2+O4fSh?)1W)wb#wJi%BE6-gmiRlTG<`3@ReqbbU|f0iG@7QaBElYc+fh8pFEu@-ZD*c3;T#MWpVy;|$dU_RAUZKa#*sWHNStZw$YLD_ z;|mHF;A%F~EL3RrQYvC%Lou$)&H~`AGJWRMUqAKFrM%(8zH>vj5zi1)CPp~k|DdQZ z{)BZ+na;9UlfVY5RS1@oRugc_kYKcsfDZGZF1s3**z?Ur*p29YX;P(DF=s%KKes&a z+nxHwA0p>fHC0n|4e&_*DEuiwG{?KPsQxBPUPa>i2yiZ%A*tqI*FMkqg4-ggahWO0HDm^v;GlLfJAg(aXiWh3Y!C)ROBWSFH)*;UYG( zVCwusCrEzJNEM%KIsqjq^@#UEOSNEMeR033i|09bx`17vjJAB~g>nm@j5_ptpDTB= zRflD<9b4e^SP0pn663z)(ia-lCWFS-MPg~{@xCd%{L3`E^98+XhSDCDT5-q5)q;8p zj1_QIP-*)Ms&qxqL8~g;)6ZTAH~C*(##2eihCKoXPwN;%cOMyU@D3pOwuN){)Q)lR zp~UR;QYO*RDet?z*t<9_v)oi};MA=-ZudFde&_nehnki6RC`TbpIwwJZcEz_`xD{h zmK850k46XYcR$A>00_|RFtq^^o}gv@8sl$f%>s)cWLh< zo^F>le#55+MY+?d23;|)`jhNh8icg=*UAFhwglDIxUci$7Oi_lbKLj^X4ht}e;=^R zBaQqB*TYc8&IwgNdjv0xtj0+p5FhUaJ=Q_O7)p#*>KG4NU zgy^q5zgzXnXW@5)Yf-$>Sn7PzQE-~PRW`G=WZXa|&Uzbix@7Du<$k1F2Wslq1Lm=& zI_*zvByXO&X^31j_VsfLB9*GXp6MkoKbX@IH?FEw!JYs4JN!$luxjTJ+xm+{F6f(m zh7lrMB+WC|Zyvz}m1h8vc5LiD!bg)v#(NYDOt*IG;_}#_Cxv1Zt{@9zlSdyM=AFWbJ24tL9_D=9qxI%7jJib znzKgxIUe6l3=9w&&$kKYs8t>sFonypnDz0bO7U6xHz7sDO+@3C78JjYC1)RKd52lo zAr9rOOQ6S8^_Ru1M_0P6{8=~lu7W;_cmK_i9{vgM9P_Pmtj4F9h&B1jtskZ-6U1U7 zxrfp5zHoN|E(b=Onc1|S9kTn-GtuX(#ZVbMimxOlTbS=bSQ(hr>0i#M>ZK_{$~`HJ zhJUBdTPP0~ZK#B>2;BoL%QOT|{Il`4Z3=w(r+d>3_(u7@aRVd72Ic+^ zH5cU9A3{O_2!M+6zh?yiO;QkcSPNiV3PK8A=1j8V;sEqtK+q`f+nieGk>5h#;5Jd< z;Mid22|WB!!u}x%CM64U0H{ik`;EOoo-~9Mqz1H0Lxk_)?|VoB5X(G3T^Sg6@e9CH z1|sx_w|rB(77KP{LDA#Cc{}uy6!bX&Tm1)KY}r3VY_bnT_Am&3=MF^4LWJ&h2JUgX zFR%&2e~6boy3?P)2E32>H?dSe(s%#|hN=Pxj0g9h6BB?yIT%4(An;c1fpFm-w*&*% z|6qQ37~V|*wB`R1kht@FtqeO_fr#R7fvGg07S`&1SVyp4ASVDr;bGKD3NVtolBDPF zIe<)shrr(7mnoGc&6IIG`R_562&HkI77fDOVIcWiW4$`bsfGhkka-|6RfLgTeFUJ2 z54vqI3?c+(6(4jTE5WMmhmtOb9=iN-2t}b%i46e`E(7y#a_otu?1_IlG!7#HMFIOt z51g#ZFen4aD?h*p7zF38COui>0Iqfa$(n228u3neIJj1{za=&|0f@@OSjALeJ%9ZK zjQ_#e_t@z$>E@6F*j0Im@AAIP{#VlFuYa4QHG80j{0I(i4mKJ8othG7Nuy^R0E_$s zNwq4BB<&g)Recafe*uf&F~I!dAp&a{galxK08~uar;&e81sVlLP!|~H*1z&8h6)4_ zQ-j!n7TE_KDm9o+CM_VU_Mqbr!yr!}L+wFl1_mKCyda>87uMo^(2>)CRTrrPmKqQtihBifVeP8{ zm`SE0e;dUMbp6u_qzU6t1jscXI1FJBT#7IDYCSLy!yu$<1_Y?g!W#YO>cON9EA3bY0g5aC&m4#Z zPj#@uEL!}RMdTYGplkz1^qd=|BYk zgi`iPht3xkMhxtd@ZX_SK)`?iJosP!ztDwoxS@do5IUG0cnh1z`z2p-U)qTU29&U2 zrT5c&Uy7^;E2YK<1C{u&()+ajBT6%kg?9||&+K2h%Si+VI*9%)`Uyq^yP_=WJ=jR5 z4}%DRfc^vYhCzrVKNuM22md>VBTuO(ERo^hjEVjZQA`yKjHv!w?WqBb??e|21nR+t z4K;WOCg#2r{1Obrz5FMbKl$~)--h^`UI#!h{3m0+G^*I7!PXJ}zt&M+G8iaJh7k&C zJjmo3!eR>n+F@PqE&L6Gkp65~Y}x;(k**PJV$li!Pa}xXA4{nRR;No~Ns|1pBw48e z1G_csA8pAN^2%|j+fV>nq1Ud)^2sDT-NFk3hSZpUHGYE)j0SE}(N2`&siN2GSBmHk{t3FRl zhoiyjT^F$nB52P>JK5gCmYc*q=lc+vB7ZwA11WL6WM;-L%WFv8&i-FpZ}&qqFwvD( zwJy)Sr;+s$-UhqHrK1TNDhP{_f34TxZ#f>TZg|!?ICaJYT~jH0 z!|b|UGOH|^ZrHyyVaKgjTaMd3_r30TH?MJtU~k(+FH_+?oluZxznXtwEa)Qi5X-gVCMBea?=bhy+S8jP-Bk zQ#yYO2N(6uocC)W;HV-gCg*k|NHk$M!i~r^s`LKZtR^+GexGuqXp}i<;LthAwLAp? zwzDMN{2qVTcxfOgiNk!AFbsCUO*D$ois_e6zpKma}>A z?8u7ySGQ8DD(rTbBb_VWwV$Z%blj_p5W2~w?Qe!5QvPw0^`2|;-u28ygS?ZzN~FCy zD}NM?Ry4ay-7F+=ak?6*=t6;Au} z{n-v{$=I5OmUSfC%z1{#pl!z<1@rZaZO0n01M$dith3*6Ffpayu?GKW)iuzaqAQv@ zMdiEnr~{pv8?g$q&G;h4Z3qt-NN@6+9-T6_L|$ebp%^mlO;lzegtplcP`(3|3tJTK zy1S7bDe@jqK^@KGKO?M1-(eQv*iODPF&FrpwDVAPHMVHCI$A5j>Dc1aVpaHoyOyuq zE}s=IlSx+0yA{nmHeRD=+i3kmvi5y~sy-b#YyFwCJz(sDc&_gBZ#sLe6piT=w<|3h z@^mmF_{^i=O|=Qm+W%$Hh0qXazBl*88iy2Hhq>$GS#z%$zjXH|@DAu;9(5*wa%bvd z#o#}IF*s;3yc#8R@jkO1kC9`W6tjZ5Z9Zo zvK3cZojY`Y#%sEyR}eVB%-bE5lOc>N+}h+2n-=N}cjdd`-9sm*Nt7j_dn<^PB{~wL z#9n}%!#Sg>=}E#X>*U&q_+v_KF(Uv`Um_)3XyuKLzT;wg z#j0tO#<=gS5{X0aNI&Ye9;Y5V4y1+g8k+BV!?0pB1?9R5*MP7}u1o@R@4-;3b2^Mw z)gVIZ>3-9oa+ab;lLs-yXj&I?TTj{&sif>^f?)$bWL+3`w5)fJGq_a;c_E6S04l^y zEQ8IU@O`>NcWm(u>cP~RZNro9G&eC@tZqr@^nmS&&hwnd<@;}ea_TL#DZZvIe@vC$ ze*Y=A?5$)5)~v7q-#6Hnp(;6XO!rCsrk%shFQR^PTwIaH6B>(rc^k{%gJ^mI*FQRI zBp9wcLpKgc)Jw#IjhTyG<*0N@Jgj`r4Kag$>ep)SF%c!KZ46H&U!zDH1 zEbccLfjQp)>%|Las_XJ(-}qaYMA(5V(qg_C_||}!<~b-D9a4*&&8d}|>Crh;bh3>4 zJDA92cAzlF?Si&cV~88k=$vo=7;!ssyGAD8n}W95>5IyUG54esgz zM)o4eTlb&SzkjWWyH)^>-&QEPubcI;apnFf_a={M*JxgQL?>=B=(WW!h&@xZY3~Cx z0PGq$BH9I?wBKX+Ipx*6uF_Nie^a=mNP-0l&W#7luHv!3b_tpCA7b_!W1XpQ#YxeM zr@>UR7K@kR6U^6fh&jvY><-E5*z@0oD33NA*Up4Tia;pxj5q<#UjJqK<9Lpfe31~h zW8wTS!jp3kL{IMIh&e^DsCbIBs#0Job0R8Vp?zqyKThw}4q)?_8vYu6MZ#QoB! z-^#d~z4zWQ<%&T9ObCW|ilcqPOnxDG{yJ4K&POm%$#K4TR*ox=n1k~pzm~Y*Ei(_0 z9`aglTte0ra9$8ivLgve&lf>%K^D^z3E2J+hLZI6OJd7dL@c_rHL6_8xdj(K06Q+; z8vPPvB39|0+ZeL9jC$ZI3vyE~m+m)2`uAXwt6#>raRv?fm^Vn&Foc($x=ctHT9v!p zGT#^_cyfv22X?Ocxo<1yQxKPXRPL#ZEOFxng?*D@N;uZOAbWyc;CxS!@5~dh0n>&9 zdjy&PC3Yuo3Uv1cn@gM+l6Ig(<kg_#NK0hNkLY{2@kF4b7ubC*kT3pe?|TZt8-+P++2d!YwkJg4(LeiZ8eo)LYqS+t z)mroX zF8Z?q(rtdC+?~>cSv}=r>w1XF@6a@KusdjbT+d{~2I97()j?2?s2v7ea#zN55wmQv zp2*qyM_MC{ZD}0NpoG$k*)(q;DP=-$Jy{5y|ltH1O zRjxWD&~DaPY|NiAR)C@&$C^mDO*WTWW9->BqWn63sc|~%F`yE9>$SSIT^EG?O)xo< zNeRlW#FYZ|55#0^jGH*b1(|r=uOn-GDm6xF^vDi`Cvk6H9Ef`*JR5Sb03T?Dy-G&2 zdI0a(`ref;3t2Op5o`J|aaQ{(qvraXz}HL4z5^~1Y`E?GAv2oy2TxEM*E)MjruvU2 z9#OjFnx|BLC2T_qP$MV2dvdwPTFaiBAwv@|)<#WX=h0+tQuT$mef@V;c z1fJLp^es^jrRx+jP4VPyB=%Ru9#HM*UEEA;+$o_k7r_DnEmeBmUxX;ztO}u^*Y;7D zkKI=T-Y!4xydx@*_1rGhs&y^k!sp3q?{{pE=zEpPwSk*B+^`?cel+ztzSC-LhO~a~ zLXn8c@0NO-cxKz+6%Zz%v+5H4n|c`sn_jra83R~aG%gQ;tojBr z{AtfNB``RT#A77SGJ&V;H)NI*J?^?kbcZ9Edp6(9CqS#UYQ3R*l|2Y_rjE38gj@S+i8(50uC;U=VeWnyMXyFP{N#Q7{>dyVQX&oR?@faEXvm7f4a$S+AVth<*BdhyU0A{KIIj* z@2(l?weC&N;jcJvU3%DH?32_;$}V@E@>a1b7Xu8(_?-#fv5BrP8^JRmQ96w^_82>D z7eh4oj{^>Y%Co^Oo2W5H^>tGX=&L8O`Ax+->G9_I-}rTOO$(6&oAzDGW2nmi${mK; z1~4z9Y$8;w8GxF{F8&|VzaZti=8*n^g9jI}N*MA+C_T29 z+XY^8m3Dp_5OS*{CI77%1Lg_AA#v&WkzcZ9sA*527TQWF(t=QCY>_5B z`$&zi6%|ysdVGUa&IDgdY!~@|m)Ci|?{HuI~+B00es2oP0-aEMZ<9a&3KBkci6bi7{ zzC8ao4$;u{)+O(C3-*5PSB6msS>na`iWIIE$GDS3+MyZD^iM=eBkMvP-Ih##LWScz zQmt#HhOfb%)4`Z*8*8i^ww-VoKgImS2^Rzo5uAVeXLVzrfX^ztJPMtGAvncXi1WhFh#+ad*#yDMjA{za{vGX%)xy5T|3)0C zeD?b#NfQbGl+pW^gFi6yD?hO$-C+@{#j^p^+FXOE$A3(OA;UIxfg4bX(T8NRiY*kZ8rQbFY%F+ zV7ioUud>@Cm>gBMq%Tvr3_9_rTG&escFDCclpnvSn$GDvY$&5Dtdn@$`w|XF|8}Jb zfvC*Yzw}s5Z_Tp$2;Jk_V}F_HqxGO5S=5RI1{2;O;=mI|5#&F|@)iEM0*B?Bj>y7x z^XI<7XKk)AQZGw8=oquW4jRmP9Q>s*&|#l+61H{8-3EPG9w|2rN7!@ArxkZ^Wr~E= z-!{BDwD&bk&aPr~OudD^lD+yw))zOFc>-gMrI!uX ztmg2d6QThqB74){LlQ3zDLj1p&YI9Q*hk;Jvcc22G5lg#nZ~z(b%z&}jdiuNK)J5u zQ*p*PPx& zCDTI1;&Cpgz!V9<5`UeaR*!9RqjTU+hJ1g{d&0M&mqfG zMO*z-5?4gXRSGyz|E6NfsPE-v@}P>|{b+{ss}@ONEXDC$K!`UWOv&qI>IB-Mncoxh zaTTgbS{}#m71(5dk75zIbZhFjYrt=mLe+HwQNtx%gy{QwteRCzNZxhc zMF5|Orqvz(r|S)AaR4G;U}UP62+k;qERBz;#~P>V(hx+XE9V=^tMie7u(b=g-S0j} zv5Z^doq0ImScD+~!D&A3zG%EXuR4wp!oaIp!VU4&QH=Ga(FS|Fw0R9nEO?mUt+!bz z9OU@UiOZE>-Z@OcLJC_6;z{-k!57KqzPnarZUL4g^@MfrVSWyJaqrBnpy9!wgL_^q zQh9sK@x7*-1b3t;lWS&G%=IfX{=VV&vlpB?trk9DJtK|+TzUcnWakNw^@qTh&RgYd z8F^>^j~yg0(7KTOHpeWRL_McJrn|kfGN6Slv=ZEM!-zil2QH|L{@zL9zO=!ria zl*!yc3X!{aR0g^tNxgS-;Nr9}uJT1Rb81TjpH9cIbX(pk1M)7}`oK0Ab3f_s49_&gIqbO;pEK&37di_Q2Kuro}YTB=vbz04q z3|RZx7xHtjlAd-v)H|1qxp9%tJB99$L4D?wB8gr>+8yvU>L=yviQ8`x$qp8@=`IhO zdsQfZgCD?S(AZxb{6Me{@n^0d7%tubs@vbg5&H4&&A(g^qtNK41_sff9s@Syci@ui;*AZYMyXWFS_;f|`E!_5rzg#9xL`GpaVOdh#6?8gA7 z&Tu*FS1gN$sx2a&Au;R=w?O<~6Ok@H4!%Zx7SLT*K-II}RKydC z27x=RMkyYtj@V>hUCSByvCcs^8e#}3scZ&QjrlOVz-lX_7beEzCCxD`DeSZ|af`>9 zc)~^byz$o(p^&(b64d-RVVR6mT}C1fJ`OMZX-I@2i2)E>{|JQP0L2Sw9=>y^zdV>p z_d?io0&Z8mCig?S@J)%YfE|D7$}W#xTH4AnWWn{eFufm)ZbmiV&A*=8$wZUae_OR% zD8eLTLS?w6XNDX|M(UUDz`PIan2>zNso$R<4~PVgUx#Bv^o##a2K1zv3ObRsI<)>0 zd_R6rDotpytfihe@t@>4gwH4*I$aa0+VT4c*om{47{bRkCggMzfW-Vq0!U64$Rpy5 zyY`PrkIHIwp8?y_z@qJ3?or11>1Ozj`=McicERHaI5oLh*CTpJSZjQ22hVP2-~Tn5+q$M3!9mb2kCR-K~i@2C>{i zJkllo<9vs#@OJi!1yK_JB3ji0D^4V7+l)0TOsF$ub%T(5j_A)>B|a|4y*1yym~yRK zVZO+@ub*?Rn~wBLtkivXg}>2?HmP>BH5uf>WH5Dd<~JCV0>*F263Z?Uj2E0$&X^J} z1^vS73^O!T-3wZkiIklN?-X5Mf~O4KT!edYEHf1lrQ=-g-grtc$9wJcUlUfBhF5u? zza-wyw5ktC1n|O(XPG>g)@Zvfh;iK}8gg$UIge%z3- zXazXI*r|(yzUTNZ9 z&6q5va}Hln0{%r|W>#|9Za`Vx3-A8q^$Qkm@mAS#8Jfcg9&_i%HCsDLOLx^++0xE- zJh$+M`m<)^YE`giQ)1F~XDtXB`VRlcb*fGRU@5G_Tz!Tr>X2;7x~88sNGCm}7W|n! zM?Q}fQ0$(e{I66@^5Z4$@95b(hXLopveTpayITF$(L?LR-Kf_!d}HU3X9LQyrK8`@ zrCwTEDz65V3g_D(^F_-ltStK3?=p8xxvi3x319ZXS(;JaSrj4mA5Mu)7=_NP0OA@U zFhzR&)jQ8FED+hL+k=Ry-@`PnOlFOHtA%#e)1GofPkSYxbOnQiZ+q3DbsgmVIQq8z z?~9Xe{iG}FPnDsKHoGA%&O^ceq?*CQKUePajK(zv6g3y&%*T~zJ5xYlx;w?$q^efx z{k?=p6SxkH2Dw*eX85|`#hzGxB7t)Q0L}XZMaI6R4x9ae%#}MIJkf&VbeDrcc5iTh z50JOlWtMvvX8O+a+$5dV%VK>`XzB8V+uZrdpsoSV3bO9yj}7(BX5g&#cJVc%hJ-4k z8DBBfcL{`vy2!Oe+rv`-tWD=9Lf(DF+;oejWhto-+{6x$Xijs!wM;!z3*uP;5QNV- z{J1;_$#vQN@fT{snuBi3?yn!X5iXv=NMyPbRKPIT@r{r79dI3mjA9D+E!AA}WYoes zW5vl(_q4IInSha3GwgDguyA5_nES;&lHb?Ob?3E28g!1&snC6u-0NJ(VA z_OD(Ocu-2EJR2qbYUDph5@@@BJ{Nq0OYta}Tb6cz?W4NCl`aT7(Q>V}eW-gN@W{M# zWYs=zSkjM`T4y5yCT0$;fjR4^I>EL>$wHe`_w#q&R@#g_vu4BfZ@q8jl7A?7PSC2O zpQp3dy{v(krVyCZZ>9J{>;tdPZ~a*o{A-bH>!PXT&xGIa%ESR9J|9m*RGm99p(i%= zR(Q0(C$}RO_br*Qb6>as=6S&<9dAmunJGxZ!F?zdpf(6@_*{?$(CHrN>al42d8gXV z-R{sxKOuth{I%-kKAB|mir3_J&ZdU29e$CNZi&wYCNRqWig?NyF&?@b{A zi1AV=2JocaN-oW+2;X(Yi-eh+tl()mC{R>z64CPOX?&qH)Kzt~W^98fm)NxZI;U#{ z)I(?#T@?klC~-Yfol_G?Bj$WQQ{4VutDUf!f)}O?>RgwFb6i3=@^i0e4OI?Sg{EC$ums724e5W?I04 z#z|O3AYKAnMmRs<$8k|$psi`ahmn$I;V9C|3#tB-Nin-~_Kq&W+M8msjJs?HDyB)ojoqG0HO zcRd^H!W?zGPFMVgx@glNbAVhCfL6>ehK}Al6;i;@SK?p8s%u?~YpqT?awEm)lkuV$*J5Lo=u=S&^=_OKEYj*ru_>d0Eo z=E8edcO)nnYJHH5I^Zk*9ZwJGn{JgsaI*+&=@4RR36VU<0U+&#lyNye` zU1G=6_$sz^?9|`BShnpJJ`t>G5|k!Qw5`_i>qxr<#nVHt1s3T?+n}>lN=mDd!6pdc zqlIVvn?$a%nf?US%px>+@kJbG@>`lhV*MIazwmKIj@(G4X+_e=nuWS&TDj;PWJeXFr?zOa2}p#%A(89)*50x=6w$SPQsPm^!4HlCdaNu7@fgLR~s48MzG6u&t}&qDga zKXZ%3TCBpJq#06&i&diXP9l(bpGEawj<;+|#*oxTl34W8 zpsn!DR6PLdIC#{&u6T4B$)Hw;tk@j#)|m+huTrj7iuK_rmy(?b#xXHGbfO51R^_;K z4@ru1T=r*@$%VlR<>-WOrxJ>FLE!rUAQSpaf2d%rm|e3C8iqG9uSN+XU))I^iY5?t zbylERNvfJ)2EKPd?wC`#5<94*FFn)Wfe}w`PzPqN&Hh(ZE2FJXqScy#aJnE z>0J{N6(`p#`FCic@d4ZDy*1x3yy9Sx4Gb2aqJPA`uk^zyu4nBVPKuXg`!WwVG>pD7 zJ3NlLURtES`C{ZZ18FA)bx^S%!Oi`rp`Uc~FN%#$K!T~H5>h_XY(eJ-JkErh3W3o? z#X7_oK}s=r8zYUx9)A?8Cx*Dw0yXa`VFt7WTt$tl}h|#b31#MzX?~ z{M&%+SJsuCX)U^PI2h{UY)x1v3q?{p#6^>#ViMI0xFwGvVQ*I5QuL77$Hs+m?*evSowOEA7| z)qws3ENKdbHnzkj`9U`PcP~Vj+BZSB=nMK5I2dLwCg>P}#ji>}AQtkK11ji+s!k&I zEGqW2`xML{VP+zVK@W_k#fadjUSTck3I(Ta<}`i$4J*JOVXP7Zwk{T*aTq{h+QWs6(p45+=(+&zsyj*2ctw ztcQ{Mih-O|8Wfl>u6Z{I-67?ea`oTPV_A>Ox`&ZjdPJz66WZZ{&tX|OQyD5c>RC=!6hnU>k?mUm0n zmnIR#`FjF}mVG-hsnT2+&jc@ed~1*zYcj%A1%z0KMJtfZ45RuhMo@kIq839dPRrIt z5d29e%|vEIsc~iua`_x5bNi1JAD0V{bZ0cXtdg3uX2O7i$`x!N4_*=|?ldMNNMncZ zyb>o5{s-bX-#sq!1O2o<3>FthGg>26Pe{5SL79}2Qd`~VC_E?0T`{KxS+Srw)K>t0 zmaA8_xFyo&SMhPX3turEmFf^LuAMG`jjg zjFEUNDiihDPGKxMJg-4)djydbsk&v@iVwqDY>U5%=$ejwl6Uscmf}NsB~$iooXRz= z#4u>3O_9*{1VVP;7?F8?*=okiK&Lvh(?Iho{3nyYD>_$v^I14+%jqB4jGWgUi2SQh z**!)>AnQWS9BacJ&q@;m->uTeB`k$z!4EP1T?4b4SYqAi6Ygl?5;6EBs*yrq!a+Fu z$6k|GM~`e)(0Odb@<5B(4W3&G> z?TmHWSt$f2?%3bjx4p9V?r6$A7&nwR&x}EX;jEvp=R^GAstyiioswrozyt}*lu##D zK_94g5=tyxe)^m_gv638I`|O7zt+v?>ci9g^LQpcJjI9NyM=!1Lr2ZS z?hYvYOh#1hMLMDB{CqBWk10Wy2RqCZY|^mV!nFwV(XqtmdQyqP3*F87!(73Q%L%F< zgfEJowWR6Kkpacq&TvUuj`w)RRMVQ7@^bvzCr~e41cN(1OCs)_h7odnbOFAcl8sW@ z*Z?%Zen6HErPme*{YCk)`U$o;qf<}EzXfo9E|!JQ1+RY97@IOo!PZw|BMJ27EiNT? z2Es@+)s@J`RHf&d2uwfCqe!$5^sza6=Wlo=-rT`IPI-0-Vc+j_*vo6@Q{%~VTOdi0 z`7lO&e^?ljr6}iRgK9$RFV7pD-e~~6AAwTy@ia{YS%J}t-`wZ(NM!{RA{FXU$j>>r@psg0yCCpnL0sc%@h9h5beJt>DDS+Luz#GEYg@PbK#S`&*9}T?cw?M zvOZ3Sxv!T^+Y(E(4`-EZLbu;FT$TU+D^*Y~zpEGM1Qg z^o18|Z|nN`k-v)_RSHeo4#OFJu* zj~5zZhFVCk-J=|1h>PfK(-8Z?iqTjyBOyM1hIWi{U(Yj(XG@NlfL6GeTY6(*FdsvG zF=G_)+9<$3t8*HGCM7l-ZB^cBa)BL(npK>UG*o`<2>}YT?0U_;5>fr98g1aT_;+07~*pv`~fNhkU+dEh1a0e64PC7MDOD zdOGzh`dCD=fgjxgX)e$?@FIP3^-@*JZFT+8(d&$*Tc?=5%Vz4 z<;mi+#t}spNh-!P%H`^!sPmOy&g|kUJ{W#QPD;{)Ma&C2wh>-kTE@>n@j-HTi@-LZ za#Q8p-S6?{L|;5Bg~U9P$}r$wUx2Cj!jC4hn5JI8>BgB++alVaKNsH6`wX6bcoN-2 zLG0sXFFGv0J!6t^D)%~e%*)?H`FbR)CX~3X+158=_UuBkIWkWYPbH2<9ZxAgmGZ)- zCg#7ftuCB|5go+-Nb`~GbtUT?z@hAA@g}dFWV0HEKIfJriIg_ zFKr7`&QMBfGJMYN*%ON73j)Q3g>194z0p5vwYXk7Q*xys^ZuP0Qh!E+90vW3U90JD zg+R79Y1Vh;XR$*X3TZTe-v9N=le(al3YU!xYbe{MYB^j*2rC4h1i`Yp_#5;}cYE3L zCz-=2w100FRy)4fH%-&`3EnQG^6X%)d|#h(_P+P8F( z#f~#_cA+q5S=H9rj=RiS(*IiGYt3Z-5Kw2)Q3H%!8h&i4P-D{;RUaa+5uL&4xA*BdNKI z%UcGkZ7HulA1EJpK+f2-AXGcS`dB4NC8vsMpYSyX&$Gf*miTH6mIA@<195pk6=?p-|QZ4uvH89cy?nVJGH=Ig-X3O=qeVb&J(VKsZ)_b zv5vONhOH|x>&iw(a9)X0#}yd17W+(HA!Z3l7#>KT~wj}=LfO{@4tT14rTaHd)JX{s82~S^ZP%&q^0Kn z{3xxe{pY`QOXNR!y$}1Jd_QsjuixY1`M>d9uV??0a(7Dq_2)hVpR$1*6x0ymvk;01 zih%Z6_(K8;|7qG#KnD8n6GMX^HE8jFI^Wqr%|B5zr0{`~|7YGM1nTfPp9VHLP}l#I ztCT>aKM^+w>4BpCS5UVC)&8%m{uikAe+6z($MF!LR zuRxChhWMWjA2t}ze=Q#PVDT8A%BW0WJpT+t~$K$HEy&ZAc{drzf8e+fURm;SK~!l6~3MArbZQ#Xl{JE#B4IAKFKmFgdWnV+yaI^JgftYf-40yiCe9_MZA zZ$Y}(4OkXs!0i;AR)q@aPu)kPjK%5enR_|QoY4Cx1peQ}DmKHrHaYiALt-78jo+LwHBZJbdCG4L~@{zBga!7k0`-hv*;VZiHY76Y}xC_S6PYqv>Q!-Vo-$r;2RCK zRkpU~1i3EZXE}y7Spa!712)^~9CWbqXHmwXG%lUWoF1i)9*@C0W!DfZbxZrbNBa+&{v9YXP%F{eJz5(S%aMBj@j(TWVL=x zwd5_FXVg-`tn2vA66&^V)j}G0?y3AK;d=jt#jY{({_+MYO7Md_+pBY(TaSq6XVE|N z_byvm-8A0kg#kG^-3(8sHH6D4!{u4gg$qI3HF_3-XZCZXr02E{r?-wam`N?6yURM7 z(^JID5%>GI*K}!Mb2awx)kQXAy+i56I6P|Y5StwoKfF3_ z7I3&(e0SpgO=fbFgT?XX?5+E4E9ZUbZ0+8bXo&$`8r6aBI&HX07#|oxB*?y=Y4;^A|Ccx z=+-Y-iQs<$ci2D0vn%KiiY-A9_zF!6V!I_y-~oRYiq}xT*6@9$qaJ@}Ux zN8K*Gjt)d-S-;FBjN?|I$ZV)aTh|B{?T;(#lbY}TFvjPj z)0i;-_;1f`%R^>1XM&^G$~2hyw2Smlm0VV9S%{64Ly?poH1#B|ye`>OH3{Jl$wY<) zJ*k&>UoP$lh&FD^%`IRjc3YnLYTlG-UI#mg_BXJ-%y##U<>nwJ!tQP`IurjP|F0H4 zF6JMb^85zB-gFzzBTbz@PBnx7Bd}Nv@~)&*Ox(WKwjm8n-f<+TF=U>5zzK7n zrDj7p4UjD8m=*pqC!j;G+iO7n(bIZKSYUPOAo;7KYTR$cLdg{>A_p|wvd=FUL_ZmK z9+n@Vhf&nG7Wsxp!sLanrauI=AXBwYL*|Cn!Ong0qXP7ioYhNbW^#1F)cpdU|Cz?llev#@w)d1OF z#k01InF!;^RrAI_i68Z9m_(B46otQuabd=Y44&W~wsf0#&$vUDYtXX_QeY@Bv*B&4 z{b26)nFvXUOqE#cC7(5*nJh|h}^*x#v^`s*!Fl&I$pw3EKQWmwEnwh^!K19@K4^68YE8*-r z7~P*puGob$rcXIrr#9K9$@xE=Z?9k$8 zV7Rdztj#v!W5}A8Gm|sHSZ?FT;J9m#$5hZKU0H+ili^XTv2zrCF_MY+dZ_SBY!SM_ zY$Jh=fnU3ZU0{nVGmAifXPu;C14arOlH_UwMg~fd^w$OqpQ{DB%5Fuk97hHRDHyG` zIP6rWS6C@wxq1;&(+hT>3g+o~m>2!hA~nK|OcauA%jf(LNOhyQpoLdb(v1z6Fi=31 z&*jTzEX;$wPq$G#;t}5px4L@@`t``n(4VcVebPT5Iiu@Rz~JsFy!Y9em{1_Y0%0b{ zJ#cizZJmOFW6E3eWhQVGg;l>?RC}n%oyxbJZ6u)}GmDxBLanIWysS5|%*iH&6=I~H zs@qo;_Bl4xU{U%?A|A>o`^YMw9|*vco0)pg`-cC@5_2l?E%Qd-HeG@%ms5sHh(D|q z+>CqkWlGUU18pisA)4hnlNmiWF@c0OgoH&Zemtk1;^UukKf_uRsGg>uBH{AE@pR&y zl@xQ4eQ-l*OTcfjmzf@V!p zWNF>%oHk7{og5=LQv@Z=5*Iza7kyGz}5b9GLnH6kY$9mw4V$t)MK26j0U|x@V8&|#ag5_YNO!c@$0xPpku{d8F5`#ZQ94TN8}HyQ+h1rJ%UQ}e{spWFrU_!o zJ0f?_rQGI`2D`1g=>L)Vt5iGQ4lb;GE-Iow?3Dsr)xyGAHGnA?I9&71EUgrW9Lzv1 zy`(A5=*9~mXcS5v=3u_;v%ZL#DCO^B2WC7Dex*5=!kM&ACw=PCT_UMl zLkPD3{sp@w7O7LldWwXO4{?gad24bY{y|~4bEM(mu)8$#i!xb3QoJfvy$e#bFJ(cu zbe7F3QZ*~pz&M)djyb_Wm=Z88(mN`-_~o@;O4S`_!ofKG%nbx6LatV?>!U()r2`H5 zTX$KK34qfFB49slx3=D7lgtI+my45OA)8Zs4_S^n*@hmDQ3Tz-l7u;&n`+=g!Y$yX znGy=Kx@I?Pq=I3EaH5#=kYL(JE*S4Tgbu%}NAz!fms;?ggDfwUhkm6_JFDm8nfZyWra#0=~z?!Abr_RRj?r!EakL zG}7MOk`dL_#R1Gfy+Vg{i+;$Zz}++ksSo5`=uAYNb%084Z~71BFE?+0+}L!Z?6&R( z;*XhKg^gw-k(DH9nX{j0L4BwFRyqSN#DI)0s|d4ig$w{P-+q|8vAO4(76*C`VVE<` z6Eq@YBL4AQ&_h#wSZT5uj%Fp>9GH+Hx1DO3bSe^W;7}g^V=~IQ2R1*gGI6Z;o0=rVjKt4SyH*@9jA||3;`zues#HZ?YlPZML zLA)kbY8|S@IxG(MGK_~Sfo#o!Dw;D$++JKdQEe3i|AZmUP*#Htkcv|(BgIS1M%C$D zBjdi-Po|Kl9ga;2#QHvA?B=&5^S`prIx4E}>*Fv( zhr-a(-6@?zcS<)13ewUcBaJi;aOnn-2I-QL20@f=P(Vr$kd${6*Lr;Y@eh3WIs3Es z+4tN#vxa@|SWlHI`dCgXt)n|)oWx@Jm{?|PEOvBw1nH3eJWAL-TT`-6UrTqui+$)Y zI7E*<;RW%`WCAk|lugM9#ZfohA+ctQ(QB;D=(c+BToStdu!HDEP0^qDOmAHo_M?h1 zBudG5xToDw+&;TsnB8RjOPaoReFC4vFzD@o z?bu#$-?>yvBT}yn3)jUf*?}P~U3`}Sncz&S;W?o>*clmIeC5Kt?vhApfeGFB-ti5( zoM%3187*~pnW7Pv4NC2Rlid|wBaiKV+s^r*yxG$a*J8KLsnRyq-=Co^MZi2K&|PdO z?^IfORj$gcF57S@gKFWqfX~b@^t;|+_;8ViqQ7bN{dnW#;VxZ*T<$O~AkcF$wA+Dd z&NKY$xGvP~YvLntpX$%C8d#i~@^W6L|CDCt06l$%?Z@bq-1PgT5Q3aTs7rQC>&eT` zR+GC+VNBm11xeE8^9fDhe>f?Mn@cn{m7+i0`66b@qUx?pu8aQV#EjBia{&}Q^)^sr z)9Hb%|Fj4rweXQ>^9^h0OvE=Ho0bXW$Q;dm7@9b>Hpq@uNc`9_Y99uIgp+C_iD9{D zXKbP~sXV}ho9SemjO;L!rJps+b>-~u3&zAaLJ5eiw=S6;0&m*;X%#pG1tsx;!_!LM0F+CDZi z5Y;exYbZ*^KU#kCI2=+g;q^I5FfU8H!HOVCcYkH1*Q&wu%KTldz#R;rE8{Lc`DoCa zzHnIxMmB6+rp0ZdkAs4%#JMrpPbJ%B5pKEVTShwl5K6U1Kdb`#C^A%KDQ6ddG5g4P8OQ*q5GeAa#dWymL6Iv~ZO55XvWaD0eyXXclh^ud z`C%c=PmFG+#nzSYhkkm+65_Yel+(7?g;ci|RgI%RRnobGiazoJ%{G>Y_b0Yx+pb7b z`tIv|kM@eZ(Fsy&K_4XsyaasK0jGQUZdXc!f(F|o91Y^iFs3&a$~HMWVQXzdr|Crn zco3Ub?RzMGkLR>JI%@Wo82DD@ZiAMohtLz^6h?>&ahH;vv2$`{={e^d&b?D32d z7VUm%z?{WX_g@~5zUfpnrzy=RYG^}7>lG1ZfAEBK-+;r9LsPr>l_L>;VV26=n1@@SLQ`&X1(y3w!d&>-jr#4?FfKWN*> zu2*waf)|f;7hQEL#p}|d)Gh8cfIoQo#&XiCt%%I& z^JKFtvWQ58H`N0bbsHi9Qnp(LUG2>=9XOmX*&>xpB4Ncy!qavE<0fMJv3X8)FHgMb zFheNzf`rHhvPq=h#kFUOA9Fg0&1bw*N`iSTHdGFy0PUC2HsqZWe~i2+ppw`=!kPwdx9;8l%PzJ zA#Q4>A{97dqP=bR-t+Xyz>?f9ZSF(mM!FCR z5RoqxedelnN@3S^S?l^zP!QQ==2M}Jcw@M*81h{;D@j6Q1vVDktU*6QP{szhi zxfqklGTt`_sfas}eJH-^u91!}*Vx;0$?b5Xpvi4n3%f$XQ8p80;y8A>)6AFZ@n6)_ zndQmnIYbsE^Dm~GmWYTdl1!{SvD9=OzEfEo?d}UbULFp3`?UR zyiw~m`{dL5D8iv*k2V9NU3YLyM4`Q!M@|u^M%=dVWfLl=o$!)bp)nV;$<5Ph#(a3) zDk_n0O0d+2HvKea#q#1OXLXMo4Qp5T$heY@yQJU36qfJ$n;!HpMo290RxL!-d$5dW zXLTZfQgeqlB(PV_)U#+K2NO2kBY~;dbPac?eL71`aBI(!c;Ls{WjNo58iqn(Bt{=r z$beMKx;sxBtNWxh?g3_MDYve&*pAXd8#~@q5{dmpsg3u2+GI28Y@F1<3a;}V`*9;Y zc(YnrOdA<9k^c|lJ(V3 zgi=1f^3LEf4anSq*Np}ZWIYD*qL23QcutZt5w+MEg-(HGZga%UG`U(pOSu+&T?s-iG%{|lnM7bSuY>%kIBq4{L5!Y(|I zfAW`N8M8c61bVP5s_s!QyDXJU5`NXje`y49ndj32V z`Y7>z|9~B4CW9+?kS3%lr}GtNKuYH(rg)@A=Q>J<+DaKpHp4ehkS2joN+&hs>>=nq z!5LE!31*wxiXP~OK4=;!E&`mUnfA zDs^=ne_T^xp_E|I{wi(0jBu=uV;@@U+o!BA$CmJc^pmqv1j-C_J?pjVXxO@tU3(C6!}qtj-Z)&R zs`o`du>-9^AaYolezK#i#*ACoyoz_4)cz``NB@qtmHnXgrnvaUb-#VOWbQzJ1id55 zw>w|+IV`ohNjY5y{Ty*P*|xXrHj`vc?26XLs>C8cNV)oYlMQY5@R(~{60QnEKKBf! z&qHJsGEMIWzJroD`ORx-Gm2=>#95H7Hcm#~Z(0o!tD1%-7uBoEnBzK%a};GIWfO>q zgvEfXUfc{a(p*hU7GBZtrXQ=f0tDeaw&;T5pii``CMa_J?pDhSP1x0kZ7svyFT~fu zm$*%@vy@eGn7ksIi!Zf*%ytoBIHCmALFgMSbsB<8VJeRDTv%B)G?GtSN5uC^`pSA8 z200Cla;adu1eH4)vCNdGmcwHJA&yHSvUel8J&)3AHE2l8be@X&GS<(O>n9|#<&w42f~SYu4sM&d9Moy!pyy8A)5 z`)cT?HJp2-=X#KtkOxx?I8Rwo+cSKuI@@}lyupHzKM7l0#noL^c}~zXoU~nm5tO)2 zg&BIkJOFEt=|gV(3CAO+O8ZyF>Xrejl78vnh%Hl*a7QH_UwY(+Y}wgrfkV!+#ZimT zWOZ^q%Xg>Ua)O-h1$pO+1`2RdoI82$wk_7q^p* zn~w8g)gIO~E{LA-@@7~5od4)|Ln1kKip9!xbsEYr z43ZOQTm^=h_EEe^wP+@-;udWZV&a8-tv+YK?g+;^cU3cl9wb;{wuSuE=D<6Ia6I1; zRF<|8I@;wT-6AzOJrrtC=;3UAXfnqicdfc)T;8@j&&RU_WH%bnEx6(7GH7-8SLN4= z$43#FY-IAe@~+~0IbWBO*zjV$Y!XxNtC~{5!PWbe)?T0!2q?bXw`SWiSik(U`KiW^Q$YjcUvHuh*iJdz&nyA?LS@xFybJ!X6 z-bh`pJWG)fFQn1hdc7oya<+SDn|QUbE3bGGhw^T+lkaF_`tV0>ovFO712QfHWt6}V zy?p4&whd!Fk{#^z{;ux;=g)!<#VTZ;!!BEZOI|ZMGE|>sU~?1J4c3>xm}d>c05`Kn!xkO5 zExY?(XQ&Va6xj9*-eddh+Q!H>jn?Ek)d?5d?|C|1qrr%?yw+d2DNXTBhjXjVP|K16_sVGigO zHsq(AILZktqhRauheC(@3$uoCc-A~SPbySAu+J5eu7h?yU~+G%qUj=5JKm@(;RCFGJyC@&9U_R9AeIHVfO8$8*P z5^d=c;i@`R%*K_8eO`B{^}{rzmT5Wf+%3ilC8Xt zcANqaiHV%F-2HVjsd2_wH{vW2kzwx0!BJD)E*=9x zZt_6_dx`iIPGTXIwKX8+V5;`n@6Z_!l%92Gz+`Ji%Sd;FoZLJzrX47z-`b2S;W` zUTN^i1~=JZ;;?gcNDJb`^#Ypvkh5W)q8=zARnvfLcP!Eo`*_j5?s2qLFAlpQT(nKR ziB%I86=mpm_xHqJlCCNopu5R!gu*ut24p6+hhMnhl9saFc+ub+yP(fG_J5R@rrjzv zC6=`}Lc6y1j;{2Gxhq!#<5`~B&p!U1!KkYJa%`%olD^IPO!*y&T8VFo$!SB2s@~na zp5xPe67|;}He(`hQ&!z3g2Hr?D|IOF?oaoGW52uz#(V8Y9#pM?{$gcyAsagWDQIP> zFnMx9dQ=q<(F|wmJ#mpTLYwk^&^jwH=T0X0bSE2Rd}mj+e_nzrY?mWEAysPYvZ>dkFqr#9^A%;mdGG`&qLT1%K$PzJWXweJzzTQv05 z8KZ376^?3JCrxMMM1mUgf_MX5Jzq~5NQIQ$CmA>q|MObXFdcXln_PeeN^wsH$l{VIV37BnY#8 zeQ8P0Y}dh*h`qA9vBZ0Ih;1A;{c5?eKz3rZJ?|T*d#xO)iu&2Yqrj6b!(&*-J54F* zWAK21>(!jo`7-V&`=8Kw5>g(&LQ*c&p|t`dzbn~lj*-a&G=8!QZ5*(b=J(}D%Jtv` zf~%^SJkLLV1Z9Qud22rwsI-kyi{d=C_2+d5Nf=Bec|0f3+pgW_(rbq|K16&{5AG3F zKWqTGGSz*k!N8%*Ib}N0jZm||A(NoD{BB|RI@srA4N#5)G2rvpNmUJfwrN}9OSjkK z>Yt1N0^>mX=<>Y+W=*bSz{oT4}HkspoO!Xj`d9z=|7%aEW6 zp+L9We##1P$Aj*HxY+>Jcn~G*p7Ym6tCTf#m$0G^EN`lFJ#PhvSzcF2m45M$daN-e zDv7fQS2pkjMowYlnv$Iu#c~R*qGBtu%`oq9w!$2{2{|P$<>~a^HO9E$Ny96ohZj)k zGfwiKMFby1`9m`KaW)ps(i%byjr+1x#?;C5DCPj`m>*@PdSU=+SYQSf1IOUP)Ys1 z{mB_BNmz8QlJw%KQ!l+qm|9}?I*rx1IK^{4PlwPJR;I*MuhHo+@kq0#pOPlUp_v(ZI08nGa-6mQIDf-a zWpdq9;Az)OVjVqoGwpDe+{_;-W8n_&Ar`4M!Ygt$t(!k(C^e;mIOPTyDzT$qeJ@GG zUpP+jz;aLzja*R75rW`PD5{%4FcGW^7&jvSA?bUDMJqwzy%j;FffW#abZ>(>c~63-49$yfOb ztB2tzANX-ChQMB%*Z}WK5H(E1;E-4)u((%gCR}MOT&W}A8ja$ySY^+hIBtucR)xd& zQY8ISHMeiZgO zocdC?Pe#EUHW4ZHzqxy{Y>|SOIf`FTkx3_x`)U^(!w*tuBk4gydkEX8Aw6A@!ixnS8n!I!DfPJ54TDJzPZH4+j`;Y<{`a_mM~mytO@O~vB+U+ zn!OxN^!3ku4wwxTFg%8#Epl7)GU1i(ZkFs}aGLLN^H#D@?hM?3An6;CUxz#=aDE67 zepnI{=@4!HK@;?Tlj+MPbK1ubH$S$w@E*9*Yfrr~%3-DYj*U?~p!3u_PiXg8d+dDV@X5q4h^gEFt^^bRuq(r?Q@~` zwEJecxVbdQa|_IGJ@at4-S2Ism z=@=w6?rW69r3c#kv@slHOOF429Bc-uSX( z*_oJ#4yq7S9D93yhP8o)1Il?q_kEdt+N*iQi`<~2*UNw--=meu8%&7MHF^G76{kWCZ}U}OFFw0~e&9?XrH0bI$0DL`8H;a7Y- zfQkb6SN|(ur~sxw_5nNp^@LV}2OfG9<<_^;uCUz*zlK5u**<~NtXTJ-}If`oL*{Vz8fkXE_{Jy$|F zl6V2xO1GeeUm7z2xcH-ml@Yo=5N;KC3#R#%uTmMUX9N~-{ z0qm*WhT~92$VEU|{Z>XIBq(SS&N+GO@jGo_h$w+8f~|o0FZ&We*0^xs(7uHQ{F3`X z+8;TCkl<@tWT2E5nfvz^r~S1>eBLfaC7>W78RPs*$7VzZni&4f{k3-!bP&KzphD-C zzl)GyxDYY`75ZzE(gTF`rzA2^Ci%zudl49uX#1>)y&_EhZx}{7p!>n^U6-PZ;HLwW zy0?5&gai@k0)D!;d$Q@*@GIaGV)*y5)JAzG1wmw!-rR!_~VLa zjtEx=aGKwe91#+9o)1Ks-&Sz|p}}LdAmC^1-{gsJ_Dy#QkdSiz=OW(?NL$>Zo?9Tu zlt9)Wxqy)1nkf*lI`!9Tg)I@*@>vkDF#9(jO2C#+gE-hpB>!e!3e;HM^1mTmk%<9Z Xt6QmNg^<{Qy%ks*IUgvq0`vbLu21D(